Accéder directement au contenu
MD

Maxime Darnon

28
Documents
Identifiants chercheurs

Présentation

Publications

"emilie-despiau-pujo"

Roughness generation during Si etching in Cl2 pulsed plasmas

Odile Mourey , Camille Petit-Etienne , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo
Plasma Etch and Strip in Microtechnologies conference, May 2016, Grenoble, France
Communication dans un congrès hal-02339971v1

Measuring IVDF through high-aspect holes in pulsed ICP plasma

G. Cunge , M Darnon , J Dubois , P. Bézard , O. Mourey
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878046v1

RFEA analyzers to measure IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey.
Frontiers in Low Temperature Plasma Diagnostics XI, 2015, Porquerolles, France
Communication dans un congrès hal-01878109v1

Producing ion waves from acoustic pressure waves in pulsed ICP: Modelling vs. Experiments

E. Despiau-Pujo , G. Cunge , M Darnon , N. Sadeghi , N Braithwaite
68th Gaseous Electronics Conference (GEC), Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878115v1

Measuring IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey.
68th GEC / ICRP−9, Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878113v1

MD simulations of Cl2 plasmas interaction with ultrathin Si films for advanced etch processes”

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble (France), France
Communication dans un congrès hal-01798396v1

MD simulations of chlorine plasmas interaction with ultrathin Si films for advanced etch processes

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , M Darnon
2014 Silicon Nanoelectronics Workshop (SNW), Jun 2014, Honolulu (USA), United States
Communication dans un congrès hal-01798393v1

Pulsed Cl2/Ar ICP plasmas processing : 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), May 2014, grenoble, France
Communication dans un congrès hal-01798407v1

Pulsed ICP plasmas processing: 0D Model vs. Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , M Darnon , N Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798532v1

Silicon etching using CW, synchronized pulsed and bias pulsed Cl2 plasma

Odile Mourey , C. Petit-Etienne , G. Cunge , M. Darnon , E. Despiau-Pujo
AVS 2014, , 2014, Baltimore, United States
Communication dans un congrès hal-01798348v1

Producing ion waves from acoustic pressure waves in pulsed inductive plasmas

Emilie Despiau-Pujo , Gilles Cunge , Maxime Darnon , Nader Sadeghi , Nicholas Braithwaite
Plasma Etch and Strip in Microelectronics (PESM), May 2014, Grenoble, France
Communication dans un congrès hal-01798411v1

Optical and electrical diagnostics in chlorine based pulsed plasmas of an industrial silicon etching reactor

Nader Sadeghi , Gilles Cunge , Maxime Darnon , Emilie Despiau-Pujo , Nicholas St J Braithwaite
1st International Middle-East Plasma Science, Turkey, Apr 2014, Istambul, Turkey
Communication dans un congrès hal-02338122v1

Pulsed Plasmas for etching in micro and nanoelectronics

Maxime Darnon , Gilles Cunge , Camille Petit-Etienne , Moritz Haass , Paul Bodart
Plasma Nanoscience Conference, Mar 2014, Dublin, Ireland
Communication dans un congrès hal-02338129v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes.

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798524v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

Gilles Cunge , Maxime Darnon , Camille Petit-Etienne , Paulin Brichon , Romuald Blanc
11th Technological Plasma Workshop, Dec 2013, York, United Kingdom
Communication dans un congrès hal-02338133v1

Time-resolved Optical and Electrical Diagnostics of Pulsed Plasmas Etching

G. Cunge , M. Brihoum , Maxime Darnon , E. Despiau-Pujo , A. Davydova
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904432v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

G. Cunge , Maxime Darnon , M. Brihoum , E. Despiau-Pujo , A. Davydova
AVS 60h international symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925763v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
AVS 2013, Oct 2013, Long beach, United States
Communication dans un congrès hal-00919179v1

MD Simulations of Pulsed Chlorine Plasmas Interaction with Ultrathin Silicon Films for Advanced Etch Processes

P. Brichon , E. Despiau-Pujo , G. Cunge , Maxime Darnon , O. Joubert
American Vacuum Society 60th International Symposium, Oct 2013, Long beach, United States
Communication dans un congrès hal-00904431v1

Pulsed ICP plasmas processing : A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00925794v1

Pulsed plasmas for etching in microelectronics

Maxime Darnon , G. Cunge , C. Petit-Etienne , M. Haass , P. Bodart
Journées du réseau plasma froids, 2013, La Rochelle, France
Communication dans un congrès hal-00925769v1

Pulsed plasma processing: A combined modelling and experimental study

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N. Braithwaite
31st International Conference on Phenomena in Ionized Gases (ICPIG), Jul 2013, Granada, Spain
Communication dans un congrès hal-00904428v1

Pulsed ICP chlorine plasmas : Numerical simulations versus Experiments

E. Despiau-Pujo , M. Brihoum , G. Cunge , Maxime Darnon , N.S. Braithwaite
4th Workshop on Radio Frequency Discharges, May 2013, giens, France
Communication dans un congrès hal-00860934v1

Pulsed plasmas for etching at the nanoscale

Maxime Darnon , G. Cunge , C. Petit Etienne , E. Pargon , L. Vallier
Journées Nationales des Technologies Émergentes, May 2013, Evian les bains, France
Communication dans un congrès hal-00860922v1

Time resolved ion flux measurement in pulsed ICP plasmas

G. Cunge , Maxime Darnon , N. Braithwaite , E. Despiau-Pujo , P. Bodart
Tampa, Oct 2012, Tampa, United States
Communication dans un congrès hal-00762123v1