Accéder directement au contenu
MD

Maxime Darnon

52
Documents
Identifiants chercheurs

Présentation

Publications

erwine-pargon
Image document

Enhancing minority carrier lifetime in Ge: Insights from HF and HCl cleaning procedures

Alexandre Chapotot , Jérémie Chrétien , Oleh Fesiienko , Erwine Pargon , Jinyoun Cho
Journal of Vacuum Science & Technology A, 2024, 42, pp.013203. ⟨10.1116/6.0003236⟩
Article dans une revue hal-04378872v1
Image document

Plasma Induced Damage on AlGaN/GaN Heterostructure During Gate Opening for Power Devices

Oleh Fesiienko , Camille Petit-Etienne , Maxime Darnon , Ali Soltani , Hassan Maher
Journal of Vacuum Science & Technology A, 2023, 41 (3), ⟨10.1116/6.0002339⟩
Article dans une revue hal-04030008v1
Image document

Anisotropic and low damage III-V/Ge heterostructure etching for multijunction solar cell fabrication with passivated sidewalls

Mathieu de Lafontaine , Erwine Pargon , Camille Petit-Etienne , Sylvain David , Jean-Paul Barnes
Micro and Nano Engineering, 2021, pp.100083. ⟨10.1016/j.mne.2021.100083⟩
Article dans une revue hal-03171055v1
Image document

Influence of plasma process on III-V/Ge multijunction solar cell via etching

Mathieu de Lafontaine , Erwine Pargon , Camille Petit-Etienne , Guillaume Gay , Abdelatif Jaouad
Solar Energy Materials and Solar Cells, 2019, 195, pp.49-54. ⟨10.1016/j.solmat.2019.01.048⟩
Article dans une revue hal-02064455v1

Atomic-scale silicon etching control using pulsed Cl-2 plasma

C. Petit-Etienne , Maxime Darnon , P. Bodart , M. Fouchier , G. Cunge
Journal of Vacuum Science and Technology, 2013, 31 (1), pp.011201. ⟨10.1116/1.4768717⟩
Article dans une revue hal-00944924v1

Critical Review: Pulsed High-Density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , G. Cunge , Maxime Darnon
Journal of Vacuum Science & Technology A, 2012, pp.30, 040801. ⟨10.1116/1.4716176⟩
Article dans une revue hal-00808668v1
Image document

Pulsed high-density plasmas for advanced dry etching processes

S. Banna , A. Ankargul , G. Cunge , Maxime Darnon , E. Pargon
Journal of Vacuum Science & Technology A, 2012, 30 (4), pp.040801. ⟨10.1116/1.4716176⟩
Article dans une revue hal-00808849v1

Silicon recess minimization during gate patterning using synchronous plasma pulsing

C. Petit-Etienne , E. Pargon , S. David , Maxime Darnon , L. Vallier
Journal of Vacuum Science and Technology, 2012, pp.B 30, 040604. ⟨10.1116/1.4737125⟩
Article dans une revue hal-00777317v1

Etching mechanisms of thin SiO2 exposed to Cl2 plasma

C. Petit-Etienne , Maxime Darnon , L. Vallier , E. Pargon , G. Cunge
Journal of Vacuum Science and Technology, 2011, B 29(5), Sep/Oct 2011
Article dans une revue hal-00629230v1

Synchronous Pulsed Plasma for Silicon Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier
ECS Trans, 2010, pp.27 (1), 717-723
Article dans une revue hal-00625292v1
Image document

Reducing damage to Si substrates during gate etching processes by synchronous plasma pulsing

C. Petit-Etienne , Maxime Darnon , L. Vallier , E. Pargon , G. Cunge
Journal of Vacuum Science & Technology B, Nanotechnology and Microelectronics, 2010, 28 (5), pp.926-935. ⟨10.1116/1.3483165⟩
Article dans une revue hal-00623374v1

Towards a controlled patterning of 10 nm silicon gates in high density plasmas

E. Pargon , Maxime Darnon , O. Joubert , T. Chevolleau , L. Vallier
Journal of Vacuum Science and Technology, 2005, B 23, pp.1913-1923
Article dans une revue hal-00397042v1

High Aspect Ratio and Low Damage III-V/Ge Heterostructure Via Etching

M. De Lafontaine , G. Gay , E. Pargon , C. Petit-Etienne , N. Rochat
Plasma Etch and Strip in Microelectronics (PESM), 11th International Workshop, May 2019, Grenoble, France
Communication dans un congrès hal-02324782v1

Synchronous Plasma Pulsing for Etch Applications

Moritz Haass , Maxime Darnon , Erwine Pargon , Camille Petit-Etienne , Laurent Vallier
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2019, Grenoble, France
Communication dans un congrès hal-02339989v1

Micro/nanotechnologies to improve concentrated photovoltaics systems

M. Darnon , M. Volatier , M. De Lafontaine , P. Albert , C. Laucher
Journées nationales sur les technologies émergentes en micro-nano fabrication, (JNTE2019), Nov 2019, Grenoble, France
Communication dans un congrès hal-02916153v1

Impact of plasma etching process exposure on the integrity of AlN and AlGaN layers integrated in GaN heterojunction transistors (HEMTs)

Fesiienko Oleh , Erwine Pargon , Hassan Maher , Camille Petit-Etienne , Ali Soltani
Journées nationales sur les technologies émergentes en micro-nano fabrication, (JNTE2019), Nov 2019, Grenoble, France
Communication dans un congrès hal-02916150v1

Etch process cleaning to improve wafer to wafer reproducibility

T. Chevolleau , C. Petit-Etienne , Gilles Cunge , Erwine Pargon , L. Vallier
ENRIS 2019 (European Nanofabrication Research Infrastructure Symposium), 2019, UNIVERSITY OF TWENTE, Netherlands
Communication dans un congrès hal-02624143v1

Plasma-induced damage during III-V semiconductor patterning for photonic and photovoltaic applications: from characterization to minimization

E. Pargon , M.De Lafontaine , M. Fouchier , C. Petit-Etienne , G. Gay
18th conference on defects recognition, imaging and physics in semiconductors (DRIP XVIII), Sep 2019, Berlin, Germany
Communication dans un congrès hal-02324729v1

Plasma processes for High Efficiency Multi-Junction Solar Cells Fabrication

M. Darnon , M. Volatier , P. Albert , M. De Lafontaine , P. St-Pierre
66th International AVS Symposium & Topical Conferences, Oct 2019, Columbus, Ohio, United States
Communication dans un congrès hal-02336676v1

III-V/Ge multijunction solar cell with Through Cell Vias Contacts fabrication

Mathieu de Lafontaine , Clement Laucher , Maxime Darnon , Abdelatif Jaouad , Maite Volatier
14th International Conference on Concentrated PhotoVoltaics Systems, Apr 2018, Puertollano, Spain
Communication dans un congrès hal-02339966v1

III-V/Ge Heterostructure Etching for Through Cell Via Contact Multijunction Solar Cell

M. De Lafontaine , G. Gay , C. Petit-Etienne , E. Pargon , M. Darnon
65th International AVS Symposium & Topical Conferences, Oct 2018, Long Beach, United States
Communication dans un congrès hal-02324762v1

III-V/Ge Heterostructure Etching for Through Cell Via Contact Multijunction Solar Cellc

M. de Lafontaine , G. Gay , C. Petit-Etienne , E. Pargon , M. Darnon
65th International AVS Symposium & Topical Conferences, Oct 2018, Long Beach, California, USA, United States
Communication dans un congrès hal-01959003v1

Anisotropic and Low Damage III-V/Ge Heterostructures via Etching for Multijunction Photovoltaic Cell Fabrication

M. De Lafontaine , M. Darnon , E. Pargon , A. Jaouad , M. Volatier
Plasma Etch and Strip in Microelectronics (PESM), 10th International Workshop, Oct 2017, leuven, Belgium
Communication dans un congrès hal-01929191v1
Image document

Spectral analysis of the line-width and line-edge roughness transfer during self-aligned double patterning approach

E. Dupuy , E. Pargon , M. Fouchier , H. Grampeix , J. Pradelles
SPIE Advanced Lithography, SPIE, 2015, San Jose, CA, United States. pp.94280B, ⟨10.1117/12.2085812⟩
Communication dans un congrès hal-01869175v1

Reactor wall plasma cleaning processes after InP etching in Cl2/CH4/Ar ICP discharge

C. Chanson , E. Pargon , M. Darnon , C. Petit Etienne , M. Foucher
Plasma Etch and Strip in Microelectronics (PESM), 7th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798099v1

Pulsed plasmas for etching at the nanoscale

Maxime Darnon , G. Cunge , C. Petit Etienne , E. Pargon , L. Vallier
Journées Nationales des Technologies Émergentes, May 2013, Evian les bains, France
Communication dans un congrès hal-00860922v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

G. Cunge , Maxime Darnon , M. Brihoum , E. Despiau-Pujo , A. Davydova
AVS 60h international symposium, Oct 2013, Long Beach, United States
Communication dans un congrès hal-00925763v1

Optical and Electrical Diagnostics of Pulsed Plasmas Etching Processes

Gilles Cunge , Maxime Darnon , Camille Petit-Etienne , Paulin Brichon , Romuald Blanc
11th Technological Plasma Workshop, Dec 2013, York, United Kingdom
Communication dans un congrès hal-02338133v1

Challenges related to linewidth roughness

Erwine Pargon , Laurent Azarnouch , Kevin Menguelti , Marc Fouchier , Melisa Brihoum
China Semiconductor Technology International Conference (CSTIC), Mar 2012, Shanghai, China
Communication dans un congrès hal-02338405v1

Towards new plasma technologies for 22 nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David
SPIE Advanced Lithography, 2012, San Jose, United States. pp.83280D, ⟨10.1117/12.920312⟩
Communication dans un congrès hal-00808682v1

Towards new plasma technologies for 22nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David
SPIE-AL, 2012, San Jose, CA, United States
Communication dans un congrès hal-00808670v1

Analysis of Passivation Layer Composition and Thickness on Silicon Patterns Etched by Synchronously Pulsed Plasmas

M. Haass , Maxime Darnon , E. Pargon , C. Petit-Etienne , L. Vallier
5th Plasma Etch and Strip in Microelectronics Workshop (PESM), Mar 2012, Grenoble, France
Communication dans un congrès hal-00755594v1

HfO2 etching by pulsed BCl3/Ar plasma

P. Bodart , C. Petit-Etienne , G. Cunge , F. Boulard , L. Vallier
4th PESM workshop, May 2011, Belgium
Communication dans un congrès hal-00641438v1

Interest of synchronized pulsed plasmas for next CMOS technologies

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , L. Vallier
China Semiconductor Technology International Conference (CSTIC) 2011, Mar 2011, Shanghaï, China
Communication dans un congrès hal-00625345v1

Improving Etch Processes by Using Pulsed Plasmas

Maxime Darnon , M. Haass , P. Bodart , G. Cunge , C. Petit-Etienne
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647630v1

HfO2 Etching by Pulsed BCl3/Ar Plasma

Paul Bodart , Camille Petit-Etienne , Gilles Cunge , Francois Boulard , Maxime Darnon
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-02339988v1

Time-Modulation of High density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , Maxime Darnon , G. Cunge
4th Plasma Etch and Strip in Microelectronics Workshop, May 2011, Mechelen, Belgium
Communication dans un congrès hal-00647608v1

Etch processes with pulsed plasmas for advanced CMOS technologies

Maxime Darnon , P. Bodart , M. Haass , C. Petit-Etienne , M. Brihoum
3rd International Conference on Microelectronics and Plasma Technology, Jul 2011, Dalian, China
Communication dans un congrès hal-00647643v1

Pulsed plasma for nanoCMOS and nanoelectronic device elaboration

G. Cunge , E. Pargon , Maxime Darnon , L. Vallier , P. Bodart
The Second International Symposium on Plasma Nanoscience (iPlasmaNano-II), Dec 2010, Sydney, Australia
Communication dans un congrès hal-00643911v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

O. Joubert , G. Cunge , Maxime Darnon , E. Pargon , T. David
63rd Annual Gaseous Electronics Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-02338411v1

Plasma etching processes for nanoCMOS and nanoelectronics devices

E. Pargon , G. Cunge , Maxime Darnon , L. Vallier , P. Bodart
Minatech upstream crossroad, 2010, Grenoble, France
Communication dans un congrès hal-00643917v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

M. Haass , Maxime Darnon , E. Pargon , G. Cunge , S. Banna
63rd Gaseous Electronic Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-00625370v1

Reduction of Plasma Induced Silicon-Recess During Gate Over-Etch Using Synchronous Pulsed Plasmas

Maxime Darnon , C. Petit-Etienne , F. Boulard , E. Pargon , L. Vallier
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625366v1

Synchronous Plasma Pulsing For Etch Applications

M. Haass , Maxime Darnon , E. Pargon , C. Petit-Etienne , L. Vallier
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625368v1

Synchronous Plasma Pulsing for silicon Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier
China Semiconductor Technology International Conference (CSTIC), 2010, Shangai, China
Communication dans un congrès hal-00643921v1

Plasma Pulsing for Atomic Layer Etching Application

P. Bodart , C. Petit-Etienne , G. Cunge , L. Vallier , Maxime Darnon
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2010, Grenoble, France
Communication dans un congrès hal-00625384v1

Challenges and future prospects in plasma etching processes

O. Joubert , E. Pargon , G. Cunge , Maxime Darnon , L. Vallier
3rd International Conference on PLAsma NanoTechnology and Science, Mar 2010, Nagoya,, Japan
Communication dans un congrès hal-00625378v1

Synchronous Plasma Pulsing for Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier
China Semiconductor Technology International Conference (CSTIC), Mar 2010, Shanghaï, China
Communication dans un congrès hal-00647616v1

Pulsed plasmas for nanoCMOS and nanoelectronics devices elaboration

E. Pargon , G. Cunge , Maxime Darnon , L. Vallier , P. Bodart
2nd International Conference on Plasma Nanoscience (iPlasma Nano-II), 2010, Bateman's Bay, Australia
Communication dans un congrès hal-00944937v1

Inductively-Coupled Pulsed Plasmas in the Presence of Synchronous Pulsed Substrate Bias for Advanced Gate Etching

S. Banna , A. Agarwal , V. Todorow , S. Rauf , K. Ramaswamy
AVS 56th international symposium, Nov 2009, San José, United States
Communication dans un congrès hal-00461590v1

Time−Modulation of High density Plasmas for Advanced Dry Etching Processes

S. Banna , A. Agarwal , T. Lill , Maxime Darnon , G. Cunge
4th PESM workshop, May 2001, Belgium
Communication dans un congrès hal-00944972v1