Accéder directement au contenu
LD

Luigi Dilillo

160
Documents
Identifiants chercheurs

Présentation

Publications

alberto-bosio
Image document

A Survey on Deep Learning Resilience Assessment Methodologies

Annachiara Ruospo , Ernesto Sanchez , Lucas Matana Luza , Luigi Dilillo , Marcello Traiola
Computer, 2023, 56, pp.57-66. ⟨10.1109/MC.2022.3217841⟩
Article dans une revue lirmm-03834128v1
Image document

Emulating the Effects of Radiation-Induced Soft-Errors for the Reliability Assessment of Neural Networks

Lucas Matana Luza , Annachiara Ruospo , Daniel Soderstrom , Carlo Cazzaniga , Maria Kastriotou
IEEE Transactions on Emerging Topics in Computing, 2022, 10 (4), pp.1867-1882. ⟨10.1109/TETC.2021.3116999⟩
Article dans une revue lirmm-03382380v1
Image document

Neutron-Induced Effects on a Self-Refresh DRAM

Lucas Matana Luza , Daniel Söderström , Helmut Puchner , Rubén García Alía , Manon Letiche
Microelectronics Reliability, 2022, 128, pp.#114406. ⟨10.1016/j.microrel.2021.114406⟩
Article dans une revue lirmm-03435635v1

Design for Test and Diagnosis of Power Switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Circuits, Systems, and Computers, 2016, 25 (3), pp.1640013. ⟨10.1142/S0218126616400132⟩
Article dans une revue lirmm-01272986v1

On the Test and Mitigation of Malfunctions in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (5), pp.611-627. ⟨10.1007/s10836-014-5479-z⟩
Article dans une revue lirmm-01238443v1
Image document

Intra-Cell Defects Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (5), pp.541-555. ⟨10.1007/s10836-014-5481-5⟩
Article dans une revue lirmm-01272964v1
Image document

Globally Constrained Locally Optimized 3-D Power Delivery Networks

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (10), pp.2131-2144. ⟨10.1109/TVLSI.2013.2283800⟩
Article dans une revue lirmm-01255754v1

A New Hybrid Fault-Tolerant Architecture for Digital CMOS Circuits and Systems

Ahn Duc Tran , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (4), pp.401-413. ⟨10.1007/s10836-014-5459-3⟩
Article dans une revue lirmm-01272958v1

Dynamic Test Methods for COTS SRAMs

Georgios Tsiligiannis , Luigi Dilillo , Viyas Gupta , Alberto Bosio , Patrick Girard
IEEE Transactions on Nuclear Science, 2014, 61 (6), pp.3095-3102. ⟨10.1109/TNS.2014.2363123⟩
Article dans une revue lirmm-01234463v1

A Complete Resistive-Open Defect Analysis for Thermally Assisted Switching MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (11), pp.2326-2335. ⟨10.1109/TVLSI.2013.2294080⟩
Article dans une revue lirmm-01248578v1

Multiple Cell Upset Classification in Commercial SRAMs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1747-1754. ⟨10.1109/TNS.2014.2313742⟩
Article dans une revue lirmm-01234446v1
Image document

Evaluating a Radiation Monitor for Mixed-Field Environments based on SRAM Technology

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Instrumentation, 2014, 9 (5), pp.#C05052. ⟨10.1088/1748-0221/9/05/C05052⟩
Article dans une revue lirmm-01234448v1

An SRAM Based Monitor for Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1663-1670. ⟨10.1109/TNS.2014.2299733⟩
Article dans une revue lirmm-01234441v1

Dynamic Compact Model of Self-Referenced Magnetic Tunnel Junction

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
IEEE Transactions on Electron Devices, 2014, 61 (11), pp.3877-3882. ⟨10.1109/TED.2014.2355418⟩
Article dans une revue lirmm-01272978v1
Image document

90 nm SRAM Static and Dynamic Mode Real-Time Testing at Concordia Station in Antarctica

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (6), pp.3389-3394. ⟨10.1109/TNS.2014.2363120⟩
Article dans une revue hal-04056468v1
Image document

A Study of Tapered 3-D TSVs for Power and Thermal Integrity

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (2), pp.306-319. ⟨10.1109/TVLSI.2012.2187081⟩
Article dans une revue lirmm-00806776v1

Fast Power Evaluation for Effective Generation of Test Programs Maximizing Peak Power Consumption

Paolo Bernardi , Mauricio de Carvalho , Ernesto Sanchez , Matteo Sonza Reorda , Alberto Bosio
Journal of Low Power Electronics, 2013, 9 (2), pp.253-263. ⟨10.1166/jolpe.2013.1259⟩
Article dans une revue lirmm-00934937v1

Testing a Commercial MRAM under Neutron and Alpha Radiation in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IEEE Transactions on Nuclear Science, 2013, 60 (4), pp.2617-2622. ⟨10.1109/TNS.2013.2239311⟩
Article dans une revue lirmm-00805005v1
Image document

Uncorrelated Power Supply Noise and Ground Bounce Consideration for Test Pattern Generation

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (5), pp.958-970. ⟨10.1109/TVLSI.2012.2197427⟩
Article dans une revue lirmm-00806774v1

Impact of Resistive-Bridging Defects in SRAM at Different Technology Nodes

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (3), pp.317-329. ⟨10.1007/s10836-012-5291-6⟩
Article dans une revue lirmm-00805017v1

Analysis and Fault Modeling of Actual Resistive Defects in ATMELtm eFlash Memories

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (2), pp.215-228. ⟨10.1007/s10836-012-5277-4⟩
Article dans une revue lirmm-00806773v1

SoC Yield Improvement - Using TMR Architectures for Manufacturing Defect Tolerance in Logic Cores

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
International Journal On Advances in Systems and Measurements, 2010, 3 (1/2), pp.1-10
Article dans une revue lirmm-00553567v1

A Comprehensive Analysis of Transition Fault Coverage and Test Power Dissipation for LOS and LOC Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Low Power Electronics, 2010, 6 (2), pp.359-374. ⟨10.1166/jolpe.2010.1086⟩
Article dans une revue lirmm-00553548v1
Image document

A Model-Based Framework to Assess the Reliability of Safety-Critical Applications

Lucas Matana Luza , Annachiara Ruospo , Alberto Bosio , Ernesto Sanchez , Luigi Dilillo
DDECS 2021 - 24th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2021, Vienna (virtual), Austria. pp.41-44, ⟨10.1109/DDECS52668.2021.9417059⟩
Communication dans un congrès hal-03266848v1
Image document

Pros and Cons of Fault Injection Approaches for the Reliability Assessment of Deep Neural Networks

Annachiara Ruospo , Lucas Matana Luza , Alberto Bosio , Marcello Traiola , Luigi Dilillo
LATS 2021 - IEEE 22nd Latin American Test Symposium, Oct 2021, Punta del Este, Uruguay. pp.1-5, ⟨10.1109/LATS53581.2021.9651807⟩
Communication dans un congrès lirmm-03435567v1
Image document

Investigating the Impact of Radiation-Induced Soft Errors on the Reliability of Approximate Computing Systems

Lucas Matana Luza , Daniel Soderstrom , Georgios Tsiligiannis , Helmut Puchner , Carlo Cazzaniga
DFT 2020 - 33rd IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2020, Frascati, Italy. pp.1-6, ⟨10.1109/DFT50435.2020.9250865⟩
Communication dans un congrès lirmm-03025736v1
Image document

Effects of Thermal Neutron Irradiation on a Self-Refresh DRAM

Lucas Matana Luza , Daniel Soderstrom , Helmut Puchner , Ruben Garcia Alia , Manon Letiche
DTIS 2020 - 15th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Apr 2020, Marrakech, Morocco. pp.1-6, ⟨10.1109/DTIS48698.2020.9080918⟩
Communication dans un congrès lirmm-03025721v1

An effective BIST architecture for power-gating mechanisms in low-power SRAMs

Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel , Leonardo B. Zordan
ISQED 2016 - 17th International Symposium on Quality Electronic Design, Mar 2016, Santa Clara, CA, United States. pp.185-191, ⟨10.1109/ISQED.2016.7479198⟩
Communication dans un congrès lirmm-01457424v1

Scan-chain intra-cell defects grading

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2015, Naples, Italy. ⟨10.1109/DTIS.2015.7127349⟩
Communication dans un congrès lirmm-01272696v1

Design-for-Diagnosis Architecture for Power Switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2015, Belgrade, Serbia. pp.43-48, ⟨10.1109/DDECS.2015.18⟩
Communication dans un congrès lirmm-01272684v1

An effective hybrid fault-tolerant architecture for pipelined cores

Imran Wali , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138733⟩
Communication dans un congrès lirmm-01272730v1

An effective ATPG flow for Gate Delay Faults

Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel , Paolo Bernardi
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2015, Naples, Italy. ⟨10.1109/DTIS.2015.7127350⟩
Communication dans un congrès lirmm-01272719v1
Image document

Exploring the impact of functional test programs re-used for power-aware testing

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.1277-1280, ⟨10.7873/DATE.2015.1031⟩
Communication dans un congrès lirmm-01272937v1

An ATPG Flow to Generate Crosstalk-Aware Path Delay Pattern

Anu Asokan , Alberto Bosio , Arnaud Virazel , Luigi Dilillo , Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.515-520, ⟨10.1109/ISVLSI.2015.99⟩
Communication dans un congrès lirmm-01272933v1

A Comprehensive Evaluation of Functional Programs for Power-Aware Test

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
NATW: North Atlantic Test Workshop, May 2014, Johnson City, NY, United States. pp.69-72, ⟨10.1109/NATW.2014.23⟩
Communication dans un congrès lirmm-01248597v1
Image document

TSV aware timing analysis and diagnosis in paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818772⟩
Communication dans un congrès lirmm-01248594v1

Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2014, Singapore, Singapore. pp.544-549, ⟨10.1109/ASPDAC.2014.6742948⟩
Communication dans un congrès lirmm-01248596v1
Image document

A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply Noise

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.226-231, ⟨10.1109/ISVLSI.2014.42⟩
Communication dans un congrès lirmm-01248592v1

Test and diagnosis of power switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.213-218, ⟨10.1109/DDECS.2014.6868792⟩
Communication dans un congrès lirmm-01248590v1

On the Generation of Diagnostic Test Set for Intra-cell Defects

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2014, Hangzhou, China. pp.312-317, ⟨10.1109/ATS.2014.57⟩
Communication dans un congrès lirmm-01272539v1

Protecting combinational logic in pipelined microprocessor cores against transient and permanent faults

Imran Wali , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.223-225, ⟨10.1109/DDECS.2014.6868794⟩
Communication dans un congrès lirmm-01248598v1

Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounce

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.207-212, ⟨10.1109/DDECS.2014.6868791⟩
Communication dans un congrès lirmm-01248599v1

Real-Time Testing of 90nm COTS SRAMs at Concordia Station in Antarctica

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès lirmm-01237709v1

iBoX — Jitter based Power Supply Noise sensor

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
ETS: European Test Symposium, May 2014, Paderborn, United States. ⟨10.1109/ETS.2014.6847830⟩
Communication dans un congrès lirmm-01248601v1

Efficient Dynamic Test Methods for COTS SRAMs Under Heavy Ion Irradiation

Georgios Tsiligiannis , Luigi Dilillo , Viyas Gupta , Alberto Bosio , Patrick Girard
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès lirmm-01237660v1
Image document

An intra-cell defect grading tool

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Stefano Bernabovi
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.298-301, ⟨10.1109/DDECS.2014.6868814⟩
Communication dans un congrès lirmm-01248591v1

Timing-aware ATPG for critical paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.116-121, ⟨10.1109/DDECS.2014.6868774⟩
Communication dans un congrès lirmm-01248600v1

SRAM Soft Error Rate Evaluation Under Atmospheric Neutron Radiation and PVT variations

Georgios Tsiligiannis , Elena Ioana Vatajelu , Luigi Dilillo , Alberto Bosio , Patrick Girard
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Crete, Greece. pp.145-150, ⟨10.1109/IOLTS.2013.6604066⟩
Communication dans un congrès lirmm-00818955v1
Image document

Multiple-Cell-Upsets on a commercial 90nm SRAM in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937429⟩
Communication dans un congrès lirmm-00839062v1

Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISQED 2013 - 14th International Symposium on Quality Electronic Design, Mar 2013, Santa Clara, CA, United States. pp.460-467, ⟨10.1109/ISQED.2013.6523652⟩
Communication dans un congrès lirmm-00817224v1

Fast and Accurate Electro-Thermal Analysis of Three-Dimensional Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2013, Wroclaw, Poland. pp.1-4, ⟨10.1109/EuroSimE.2013.6529956⟩
Communication dans un congrès lirmm-00839043v1

Temperature Impact on the Neutron SER of a Commercial 90nm SRAM

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2013, San Francisco, Ca, United States. pp.1-4
Communication dans un congrès lirmm-00805291v1

On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC: International Test conference, Sep 2013, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2013.6651927⟩
Communication dans un congrès lirmm-00818977v1

A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
VTS: VLSI Test Symposium, Apr 2013, Berkeley, CA, United States. pp.1-6, ⟨10.1109/VTS.2013.6548894⟩
Communication dans un congrès lirmm-00805366v1

Computing Detection Probability of Delay Defects in Signal Line TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569349⟩
Communication dans un congrès lirmm-00839044v1

Improving Defect Localization Accuracy by means of Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
SDD: Silicon Debug and Diagnosis, Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00806872v1

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Communication dans un congrès lirmm-01248603v1

SEU Monitoring in Mixed-Field Radiation Environments of Particle Accelerators

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937419⟩
Communication dans un congrès lirmm-00839085v1

Worst-Case Power Supply Noise and Temperature Distribution Analysis for 3D PDNs with Multiple Clock Domains

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. ⟨10.1109/NEWCAS.2013.6573628⟩
Communication dans un congrès lirmm-00839042v1
Image document

Performance Characterization of TAS-MRAM Architectures in Presence of Capacitive Defects

João Azevedo , Arnaud Virazel , Yuanqing Cheng , Alberto Bosio , Luigi Dilillo
VALID: Advances in System Testing and Validation Lifecycle, Oct 2013, Venice, Italy. pp.39-44
Communication dans un congrès lirmm-01433308v1

Characterization of an SRAM Based Particle Detector For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IWASI: International Workshop on Advances in Sensors and Interfaces, Jun 2013, Bari, Italy. pp.75-80, ⟨10.1109/IWASI.2013.6576070⟩
Communication dans un congrès lirmm-00839046v1

Analyzing resistive-open defects in SRAM core-cell under the effect of process variability

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569373⟩
Communication dans un congrès lirmm-01921630v1

Mitigate TSV Electromigration for 3D ICs - From the Architecture Perspective

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
International Symposium on VLSI, Natale, Brazil. pp.6
Communication dans un congrès lirmm-00839052v1

Evaluating An SEU Monitor For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
iWoRID: International Workshop on Radiation Imaging Detectors, SOLEIL Synchrotron, Jun 2013, Paris, France
Communication dans un congrès lirmm-01238433v1
Image document

Test Solution for Data Retention Faults in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Communication dans un congrès lirmm-00805140v1

On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell

Elena Ioana Vatajelu , Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard
DFT: Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2013, New York, United States. pp.143-148, ⟨10.1109/DFT.2013.6653597⟩
Communication dans un congrès lirmm-01238413v1

A novel method to mitigate TSV electromigration for 3D ICs

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. pp.121-126, ⟨10.1109/ISVLSI.2013.6654633⟩
Communication dans un congrès lirmm-01248617v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
GDR SOC-SIP'11 : Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679522v1
Image document

Electro-Thermal Analysis of 3D Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DAC: Design Automation Conference, 2012, San Francisco, United States
Communication dans un congrès lirmm-00806836v1

Test and Reliability of Magnetic Random Access Memories

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'11: Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679516v1

Resistive-Open Defect Analysis for Through-Silicon-Vias

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France
Communication dans un congrès lirmm-00806803v1

Defect Analysis in Power Mode Control Logic of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233033⟩
Communication dans un congrès lirmm-00805374v1

Dynamic Mode Testing of SRAMS under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
Sixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Communication dans un congrès lirmm-00807053v1

Power Supply Noise Sensor Based on Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.161-166, ⟨10.1109/ATS.2012.46⟩
Communication dans un congrès lirmm-00806890v1

Peak Power Estimation: A Case Study on CPU Cores

Paolo Bernardi , Mauricio de Carvalho , Ernesto Sanchez , Matteo Sonza Reorda , Alberto Bosio
IEEE Asian Test Symposium, Nov 2012, Niigata, Japan. pp.167-172, ⟨10.1109/ATS.2012.58⟩
Communication dans un congrès lirmm-00805389v1

Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test Solutions

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2012.6401578⟩
Communication dans un congrès lirmm-00805143v1

SRAM testing under Neutron Radiation for the evaluation of different algorithms stress

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
15ème Journées Nationales du Réseau Doctoral en Microélectronique, Jun 2012, Marseille, France
Communication dans un congrès lirmm-00807054v1

Complete Framework for the Estimation of the SRAM Core-Cell Resilience to Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: Radiation and its Effects on Components and Systems, Sep 2012, Biarritz, France
Communication dans un congrès hal-01935785v1

Robustness Improvement of Digital Circuits A New Hybrid Fault Tolerant Architecture

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM'11: Journées Nationales du Réseau Doctoral de Microélectronique, Paris, France
Communication dans un congrès lirmm-00679509v1

Impacts of Resistive-Open Defects in the Word-Line Selection of TAS-MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806842v1

Through-Silicon-Via Resistive-Open Defect Analysis

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233037⟩
Communication dans un congrès lirmm-00806848v1

Why and How Controlling Power Consumption During Test: A Survey

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp. 221-226, ⟨10.1109/ATS.2012.30⟩
Communication dans un congrès lirmm-00818984v1

Adaptive Voltage Scaling via Effective On-Chip Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806859v1

Evaluation of Test Algorithms Stress Effect on SRAMs under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IOLTS: International On-Line Testing Symposium, Jun 2012, Sitges, Spain. pp.212-222, ⟨10.1109/IOLTS.2012.6313853⟩
Communication dans un congrès lirmm-00805373v1

A Novel Framework for Evaluating the SRAM Core-Cell Sensitivity to Neutrons

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès lirmm-00805163v1

Resistive-Open Defects Affecting Bit-Line Selection in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM: Journées Nationales du Réseau Doctoral en Microélectronique, 2012, Paris, France
Communication dans un congrès lirmm-00806827v1

Advanced Test Methods for SRAMs

Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
VTS: VLSI Test Symposium, Apr 2012, Hyatt Maui, HI, United States. pp.300-301, ⟨10.1109/VTS.2012.6231070⟩
Communication dans un congrès lirmm-00805049v1

A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant Architectures

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2012, Hawaii, United States. pp.50-55, ⟨10.1109/VTS.2012.6231079⟩
Communication dans un congrès lirmm-00806778v1
Image document

Impact of Resistive-Bridge Defects in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.125-130, ⟨10.1109/ATS.2012.37⟩
Communication dans un congrès lirmm-00806809v1

Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Communication dans un congrès lirmm-00689024v1

A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'11 : Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679513v1

Defect Localization Through an Effect-Cause based Intra-Cell Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806841v1

Fault Localization Improvement through an Intra-Cell Diagnosis Approach

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISTFA 2012 - 38th International Symposium for Testing and Failure Analysis, Nov 2012, Phoenix, AZ, United States. pp.509-519
Communication dans un congrès lirmm-00806863v1

Dynamic Mode Test of a Commercial 4Mb Toggle MRAM under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès lirmm-00805165v1

Radiation Induced Effects on Electronic Systems and ICs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
SETS: South European Test Seminar, Mar 2012, Sauze d'Oulx, Italy
Communication dans un congrès lirmm-00807055v1

Tolérance aux fautes et rendement de fabrication

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553995v1

X-Identification of Transition Delay Fault Tests for Launch-off Shift Scheme

Kohei Miyase , Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard
WRTLT'10: 11th IEEE Workshop On RTL and High Level Testing, Shanghai, China. pp.N/A
Communication dans un congrès lirmm-00566869v1

Impact of Resistive-Bridging Defects in SRAM Core-Cell

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DELTA'10: International Symposium on Electronic Design, Test & Applications, Ho Chi Minh, Vietnam. pp.265-270
Communication dans un congrès lirmm-00553592v1

Mapping Test Power to Functional Power through Smart X-Filling for LOS Scheme

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Communication dans un congrès lirmm-00651905v1

Error Resilient Infrastructure for Data Transfer in a Distributed Neutron Detector

Luigi Dilillo , Alberto Bosio , Miroslav Valka , Patrick Girard , Serge Pravossoudovitch
DFT 2011 - International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2011, Vancouver, Canada. pp.294-301, ⟨10.1109/DFT.2011.41⟩
Communication dans un congrès lirmm-00651226v1

Test Relaxation and X-filling to Reduce Peak Power During At-Speed LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553989v1

Detecting NBTI Induced Failures in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VTS'10: VLSI Test Symposium, Santa Cruz, CA, United States. pp.75-80
Communication dans un congrès lirmm-00553612v1

Failure Analysis and Test Solutions for Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.459-460, ⟨10.1109/ATS.2011.97⟩
Communication dans un congrès lirmm-00805123v1

Robust Structure for Data Collection and Transfer in a Distributed SRAM Based Neutron Test Bench

Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
Workshop on Dependability Issues in Deep-Submicron Technologies, Trondheim, Norway
Communication dans un congrès lirmm-00651796v1

Simultaneous Power and Thermal Integrity Analysis for 3D Integrated Systems

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Communication dans un congrès lirmm-00651802v1

Analysis of Resistive-Open Defects in TAS-MRAM Array

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Communication dans un congrès lirmm-00679524v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Netherlands. pp.353-358
Communication dans un congrès lirmm-00592182v1

Power-Aware Test Pattern Generation for At-Speed LOS Testing

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.506-510
Communication dans un congrès lirmm-00651917v1

Power Supply Noise and Ground Bounce Aware Pattern Generation for Delay Testing

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: International New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.73-76, ⟨10.1109/NEWCAS.2011.5981222⟩
Communication dans un congrès lirmm-00647815v1

A Study of Path Delay Variations in the Presence of Uncorrelated Power and Ground Supply Noise

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2011, Cottbus, Germany. pp.189-194, ⟨10.1109/DDECS.2011.5783078⟩
Communication dans un congrès lirmm-00592000v1

On Using Address Scrambling to Implement Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ITC'2011: International Test Conference, Sep 2011, Anaheim, CA, United States. pp.N/A
Communication dans un congrès lirmm-00647773v1
Image document

A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ATS 2011 - 20th IEEE Asian Test Symposium, Nov 2011, New Delhi, India. pp.136-141, ⟨10.1109/ATS.2011.89⟩
Communication dans un congrès lirmm-00651238v1

On Using Address Scrambling for Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
International test Conference, Sep 2011, Anaheim, CA, United States. pp.1-8, ⟨10.1109/TEST.2011.6139149⟩
Communication dans un congrès lirmm-00805334v1

Effective Launch-to-Capture Power Reduction for LOS Scheme with Adjacent-Probability-Based X-Filling

Kohei Miyase , Yuta Uchinodan , Kazunari Enokimoto , Yuta Yamato , Xiaoqing Wen
ATS: Asian Test Symposium, 2011, New Delhi, India. pp.21-23
Communication dans un congrès lirmm-00651247v1

A Functional Power Evaluation Flow for Defining Test Power Limits during At-Speed Delay Testing

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ETS 2011 - 16th IEEE European Test Symposium, May 2011, Trondheim, Norway. pp.153-158, ⟨10.1109/ETS.2011.21⟩
Communication dans un congrès lirmm-00647822v1

Analyse et modélisation des défauts résistifs affectant les mémoires Flash

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553947v1

Robust Data Collection and Transfer Framework for a Distributed SRAM Based Neutron Sensor

Luigi Dilillo , Alberto Bosio , Paolo Rech , Patrick Girard , Frédéric Wrobel
IEEE International Workshop on Advances in Sensors and Interfaces, Jun 2011, Savelletri di Fasano, Italy. pp.176-180, ⟨10.1109/IWASI.2011.6004712⟩
Communication dans un congrès lirmm-00805394v1

Analysis and Fault Modeling of Actual Resistive Defects in Flash Memories

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM'10 : Journées Nationales du Réseau Doctoral de Microélectronique, Montpellier, France
Communication dans un congrès lirmm-00553935v1

A DfT Solution for Oxide Thickness Varitions in ATMEL eFlash Technology

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, 2011, Athènes, Greece
Communication dans un congrès lirmm-00647750v1

Variability Analysis of an SRAM Test Chip

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2011, Trondheim, Norway
Communication dans un congrès lirmm-00651791v1

On using a SPICE-like TSTAC™ eFlash model for design and test

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits ans Systems, Apr 2011, Cottbus, Germany. pp.359-370, ⟨10.1109/DDECS.2011.5783111⟩
Communication dans un congrès lirmm-00592203v1

Power Reduction Through X-filling of Transition Fault Test Vectors for LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, 2011, Athènes, Greece. ⟨10.1109/DTIS.2011.5941434⟩
Communication dans un congrès lirmm-00647760v1
Image document

Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study from 90nm down to 40nm Technology Nodes

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.132-137
Communication dans un congrès lirmm-00493236v1
Image document

A Two-Layer SPICE Model of the ATMEL TSTAC eFlash Memory Technology for Defect Injection and Faulty Behavior Prediction

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.81-86
Communication dans un congrès lirmm-00493204v1

Power Reduction Through X-filling of Transition Fault Test Vectors for LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
LPonTR: 
Impact of Low-Power design on Test and Reliability, May 2010, Prague, Czech Republic
Communication dans un congrès lirmm-00553930v1

A Comprehensive System-on-Chip Logic Diagnosis

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, 2010, Shanghai, China. pp.237-242
Communication dans un congrès lirmm-00545131v1

A Statistical Simulation Method for Reliability Analysis of SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DAC: Design Automation Conference, Jun 2010, Anaheim, United States. pp.853-856
Communication dans un congrès lirmm-00553619v1

Setting Test Conditions for Detecting Faults Induced by Random Dopant Fluctuation in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VARI: Workshop on CMOS Variability, 2010, Montpellier, France
Communication dans un congrès lirmm-00553626v1
Image document

Setting Test Conditions for Improving SRAM Reliability

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.257-262
Communication dans un congrès lirmm-00492741v1

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Wu Fangmei , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DDECS'10: 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2010, Vienna, Austria. pp.376-381
Communication dans un congrès lirmm-00475734v1

A Memory Fault Simulator for Radiation-Induced Effects in SRAMs

Paolo Rech , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
ATS: Asian Test Symposium, 2010, Shanghai, China. pp.100-105
Communication dans un congrès lirmm-00545102v1

A Fault-Simulation-Based Approach for Logic Diagnosis

Youssef Benabboud , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2009, Cairo, Egypt. pp.216-221
Communication dans un congrès lirmm-00371377v1

Using TMR Architectures for SoC Yield Improvement

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
VALID'09: The First International Conference on Advances in System Testing and Validation Lifecycle, 2009, Porto, Portugal. pp.155-160
Communication dans un congrès lirmm-00406967v1

Delay Fault Diagnosis in Sequential Circuits

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2009, Taichung, Taiwan. pp.355-360
Communication dans un congrès lirmm-00406968v1

Comprehensive Bridging Fault Diagnosis based on the SLAT Paradigm

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DDECS'09: 12th IEEE Symposium on Design and Diagnostics of Electronic Systems, pp.264-269
Communication dans un congrès lirmm-00371198v1

Trade-off Between Power Dissipation and Delay Fault Coverage For LOS and LOC Testing Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Impact of Low-Power Design on Test and Reliability, Spain
Communication dans un congrès lirmm-00435005v1

A History-Based Diagnosis Technique for Static and Dynamic Faults in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ITC'2008: International Test Conference, Oct 2008, Santa Clara, CA, United States. pp.1-10, ⟨10.1109/TEST.2008.4700555⟩
Communication dans un congrès lirmm-00341798v1
Image document

A Signature-based Approach for Diagnosis of Dynamic Faults in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2008, Tunis, Tunisia. pp.001-006, ⟨10.1109/DTIS.2008.4540243⟩
Communication dans un congrès lirmm-00324143v1

A History-Based Technique for Faults Diagnosis in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
Colloque GDR SoC-SiP, France
Communication dans un congrès lirmm-00341821v1

Fault-Effect Propagation Based Intra-cell Scan Chain Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, Jun 2013, Lyon, France. 2013
Poster de conférence lirmm-00839113v1

Performance Evaluation of Capacitive defects on TAS-MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence lirmm-00839093v1

Investigating Multiple-Cell-Upsets on a 90mn SRAM

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Colloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence lirmm-00839108v1

Coupling-Based Resistive-Open Defects in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2012, Annecy, France. Test Symposium (ETS), 2012 17th IEEE European, 2012, ⟨10.1109/ETS.2012.6233034⟩
Poster de conférence lirmm-00806793v1

Resistive Open Defect Analysis for Through-Silicon-Vias

Carolina Momo Metzler , Aida Todri-Sanial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo
ETS: European Test Symposium, May 2012, Annecy, France. 17th IEEE European Test Symposium, pp.183, 2012
Poster de conférence lirmm-00806795v1

Parity Prediction Synthesis for Nano-Electronic Gate Designs

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC'2010: International Test Conference, Nov 2010, Austin, Texas, United States. pp.N/A, 2010
Poster de conférence lirmm-00537938v1

Is Test Power Reduction Through X-Filling Good Enough?

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ITC'2010: International Test Conference, Nov 2010, Austin, Texas, United States. 2010
Poster de conférence lirmm-00537926v1

Analysis of Resistive-Bridging Defects in SRAM Core-Cell: Impact within the Core-Cell and in the Memory Array

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2009, Sevilla, Spain. 14th IEEE European Test Symposium, 2009
Poster de conférence lirmm-00433796v1

SoC Yield Improvement for Future Nanoscale Technologies

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS 2009 - 14th IEEE European Test Symposium | PhD Forum, May 2009, Sevilla, Spain. 2009
Poster de conférence lirmm-00433798v1

A Logic Diagnosis Approach for Sequential Circuits

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ETS 2009 - 14th IEEE European Test Symposium, May 2009, Sevilla, Spain. , 2009, Ph. D. Forum
Poster de conférence lirmm-00433792v1

Test des Mémoires FLASH NAND

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, France. 2009
Poster de conférence lirmm-00433770v1

SRAM Core-cell Quality Metrics

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC SIP, France. 2009
Poster de conférence lirmm-00434962v1

Trade-off Between Power Dissipation and Delay Fault Coverage for LOS and LOC Testing Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC SIP, France. 2009
Poster de conférence lirmm-00434959v1

NAND Flash Testing: A Preliminary Study on Actual Defects

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC: International Test Conference, Nov 2009, Austin, TX, United States. 2009, ⟨10.1109/TEST.2009.5355898⟩
Poster de conférence lirmm-00433765v1

Electromigration Alleviation Techniques for 3D Integrated Circuits

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
Chao Wang. High Performance Computing for Big Data: Methodologies and Applications, CRC Press, pp.37-58, 2017, 9781498783996
Chapitre d'ouvrage lirmm-01800220v1