Accéder directement au contenu

Marc Bocquet

Enseignant Chercheur (Professeur des universités) à l'université d'Aix-Marseille.
22
Documents

Présentation

Professeur des Universités - 63ème section CNU ============================================== Unités de rattachement actuelles -------------------------------- ### Laboratoire de recherche : Institut Matériaux Microélectronique Nanosciences de Provence (Im2np) Im2np, UMR CNRS 7334 et Université d'Aix-Marseille <http://www.im2np.fr> Equipe Mémoires - Département ASCE ### Composante d'enseignement : IUT dpt GEII de Marseille <https://iut.univ-amu.fr/departements/geii-marseille> Responsabilités Administratives ### Laboratoire - Depuis 2017 : Co-responsable de l'équipe Mémoires de l'IM2NP - Depuis 2017 : Membre du conseil scientifique de l'IM2NP ### Unité de Formation - 2019-2020 : Co-responsable de la 2ème année du cycle préparatoire intégrée de Polytech Marseille - 2014-2019 : Responsable d'année du département MT de Polytech Marseille - 2012-2014 : Responsable d'un parcours d'enseignement au département MT de Polytech Marseille Diplômes -------- - Juin 2017 : Habilitation à diriger les recherches (HDR), Université d’Aix-Marseille. - Novembre 2009 : Thèse de doctorat en Micro&amp;NanoElectronique de l'INPG, Grenoble. - 2005-2006 : Master NanoTechnologies Université Joseph Fourier, Grenoble, mention bien - 2003-2006 : Diplôme d'ingénieur ENSERG - INPG, Grenoble, mention très bien Activité d'enseignement ----------------------- Volume : Environ 2500 HETD enseignées depuis 2006 Niveaux : Cycle préparatoire (2A), école d'ingénieur (3A-4A-5A), formation continue Matières enseignés : Base de l'électronique numérique, microcontrôleur, électrotechnique, physique et technologie des composants à semi-conducteur, mémoires non-volatiles Thématiques de recherche ------------------------ ### Mots-clefs thématiques : Technologies mémoires émergentes, micro-nanoélectronique, électronique flexible, mémoires non-volatiles, mémoires résistives, RRAM, CBRAM, PCM, FRAM ### Compétences : Microscopie champ proche (AFM, EFM, KPFM, C-AFM), caractérisation électrique sous pointes, modélisation physique, modélisation compacte ### Thèmes : - Modélisation et caractérisation des mémoires innovantes : RRAM, PCRAM, FRAM - Développement technologique de dispositifs mémoires sur support souple\\\\ - Optimisation de nouvelles architectures mémoires de type EEPROM/Flash Projets de recherche -------------------- Implication en tant que participant (P) ou coordinateur (C) : - ANR Neuronic (2019-2023) (C) - IPCEI Nano2022 (2019-2022) (C) - UNICO Chist-ERA (2019-2022) (P) - ANR Reflex (2012-2015) (P) - ANR Dipmem (2012-2015) (P) - Carnot POLYMEM (2014) (P) Expertises Nationales --------------------- - Participation à des jurys de thèse : 2 en tant que président, 4 en tant que rapporteur et 1 en tant que Examinateur - Depuis 2016 : Expertise de dossiers AGIR-PEPS, ANR, IRS, projet region. - 2013 : Membre de la commission de Spécialité de la 63ème section collège B Encadrement doctoral -------------------- - 4 thèses en co-encadrement soutenues - 2 thèses en co-encadrement en cours. - Co-encadrement de thèses sous contrat CIFRE et CTBU CEA. Dissémination scientifique -------------------------- - 105 publications - 25 revues internationales à comité de lecture. - 54 proceedings de conférences internationales à comité de lecture. - 2 articles de vulgarisation scientifiques. - 7 conférences invitées - 1 brevet.

Publications

damien-dlr
Image document

OxRAM+OTS optimization for Binarized Neural Network hardware implementation

Joel Minguet Lopez , Tifenn Hirtzlin , Manon Dampfhoffer , Laurent Grenouillet , Lucas Reganaz
Semiconductor Science and Technology, 2021, 37 (1), pp.014001. ⟨10.1088/1361-6641/ac31e2⟩
Article dans une revue hal-03418653v1

Conductive-bridge memory cells based on a nano-porous electrodeposited GeSbTe alloy

Charles Rebora , Ruomeng Huang , Gabriela P. Kissling , Marc Bocquet , C H (kees) De Groot
Nanotechnology, 2018, ⟨10.1088/1361-6528/aae6db⟩
Article dans une revue hal-01951256v1
Image document

Design and Simulation of a 128 kb Embedded Nonvolatile Memory Based on a Hybrid RRAM (HfO$_2$ )/28 nm FDSOI CMOS Technology

Jean-Michel Portal , Marc Bocquet , Santhosh Onkaraiah , Mathieu Moreau , Hassen Aziza
IEEE Transactions on Nanotechnology, 2017, 16, pp.677 - 686. ⟨10.1109/TNANO.2017.2703985⟩
Article dans une revue hal-01745418v1
Image document

Resistance controllability and variability improvement in a TaO x -based resistive memory for multilevel storage application

A. Prakash , D. Deleruyelle , J. Song , Marc Bocquet , H. Hwang
Applied Physics Letters, 2015, 106 (23), pp.233104. ⟨10.1063/1.4922446⟩
Article dans une revue hal-01737306v1

An Overview of Non-Volatile Flip-Flops Based on Emerging Memory Technologies

Jean-Michel Portal , Marc Bocquet , Mathieu Moreau , Hassen Aziza , Damien Deleruyelle
Journal of Electronic Science and Technology, 2014, 12 (2), pp.173 - 181. ⟨10.3969/j.issn.1674-862X.2014.02.007⟩
Article dans une revue hal-01745646v1

Design and analysis of crossbar architecture based on complementary resistive switching non-volatile memory cells

W. Zhao , M. Portal , W. Kang , Mathieu Moreau , Y. Zhang
Journal of Parallel and Distributed Computing, 2014, 74 (6), pp.2484 - 2496. ⟨10.1016/j.jpdc.2013.08.004⟩
Article dans une revue hal-01744000v1
Image document

Robust Compact Model for Bipolar Oxide-Based Resistive Switching Memories

Marc Bocquet , Damien Deleruyelle , Hassen Aziza , Christophe Muller , Jean-Michel Portal
IEEE Transactions on Electron Devices, 2014, 61 (3), pp.674 - 681. ⟨10.1109/TED.2013.2296793⟩
Article dans une revue hal-01737291v1
Image document

Compact Modeling Solutions for Oxide-Based Resistive Switching Memories (OxRAM)

Marc Bocquet , Hassen Aziza , Weisheng Zhao , Yue Zhang , Santhosh Onkaraiah
Journal of Low Power Electronics and Applications, 2014, 4 (1), pp.1-14. ⟨10.3390/jlpea4010001⟩
Article dans une revue hal-01737320v1

Synchronous Non-Volatile Logic Gate Design Based on Resistive Switching Memories

Weisheng Zhao , Mathieu Moreau , Erya Deng , Yue Zhang , Jean-Michel Portal
IEEE Transactions on Circuits and Systems I: Regular Papers, 2014, 61 (2), pp.443 - 454. ⟨10.1109/TCSI.2013.2278332⟩
Article dans une revue hal-01743999v1
Image document

Operation and stability analysis of bipolar OxRRAM-based Non-Volatile 8T2R SRAM as solution for information back-up

H. Hraziia , Adam Makosiej , Giorgio Palma , Jean-Michel Portal , Marc Bocquet
Solid-State Electronics, 2013, 90, pp.99-106. ⟨10.1016/j.sse.2013.02.045⟩
Article dans une revue hal-01744003v1
Image document

Non-Volatile Flip-Flop Based on Unipolar ReRAM for Power-Down Applications

Jean-Michel Portal , Marc Bocquet , Damien Deleruyelle , Christophe Muller
Journal of Low Power Electronics, 2012, 8 (1), pp.1 - 10. ⟨10.1166/jolpe.2012.1172⟩
Article dans une revue hal-01745507v1
Image document

Ge2Sb2Te5 layer used as solid electrolyte in conductive-bridge memory devices fabricated on flexible substrate

D. Deleruyelle , Magali Putero , T. Ouled-Khachroum , Marc Bocquet , M.V. Coulet
Solid-State Electronics, 2012, ⟨10.1016/j.sse.2012.06.010⟩
Article dans une revue emse-00767177v1
Image document

Self-consistent physical modeling of set/reset operations in unipolar resistive-switching memories

Marc Bocquet , Damien Deleruyelle , Christophe Muller , Jean-Michel Portal
Applied Physics Letters, 2011, 98 (26), ⟨10.1063/1.3605591⟩
Article dans une revue hal-01779321v1

Ge-Se-Sb-N-based OTS scaling perspectives for high-density 1S1R crossbar arrays

J. Minguet Lopez , N. Castellani , L. Grenouillet , L. Reganaz , G. Navarro
2021 IEEE INTERNATIONAL MEMORY WORKSHOP (IMW), May 2021, Dresde, Germany. pp.107-110, ⟨10.1109/IMW51353.2021.9439606⟩
Communication dans un congrès hal-03622145v1
Image document

Impact of a Laser Pulse On HfO$_2$-based RRAM Cells Reliability and Integrity

A. Krakovinsky , Marc Bocquet , R. Wacquez , J. Coignus , D. Deleruyelle
2016 INTERNATIONAL CONFERENCE ON MICROELECTRONIC TEST STRUCTURES (ICMTS), 2016, Unknown, Unknown Region. pp.152-156
Communication dans un congrès hal-01435097v1
Image document

Investigation of the potentialities of Vertical Resistive RAM (VRRAM) for neuromorphic applications

G. Piccolboni , G. Molas , M. Portal , R. Coquand , Marc Bocquet
2015 IEEE International Electron Devices Meeting (IEDM), Dec 2015, Washington, United States. pp.17.2.1-17.2.4, ⟨10.1109/IEDM.2015.7409717⟩
Communication dans un congrès hal-01804658v1

Fabrication and characterization of ECM memories based on a Ge2Sb2Te5 solid electrolyte

Charles Rebora , Marc Bocquet , T. Ouled-Khachroum , Magali Putero , Damien Deleruyelle
2014 10th Conference on Ph.D. Research in Microelectronics and Electronics (PRIME), Jun 2014, Grenoble, France. ⟨10.1109/PRIME.2014.6872754⟩
Communication dans un congrès hal-01804660v1
Image document

Synchronous Full-Adder based on Complementary Resistive Switching Memory Cells

Y Zhang , Erya y Deng , Jacques-Olivier O Klein , Damien Querlioz , Dafiné Ravelosona
11th International New Circuits and Systems Conference (NEWCAS), Jun 2013, Paris, France. ⟨10.1109/NEWCAS.2013.6573578⟩
Communication dans un congrès hal-01840795v1
Image document

Analytical study of complementary memristive synchronous logic gates

Jean-Michel Portal , Mathieu Moreau , Marc Bocquet , Hassen Aziza , Damien Deleruyelle
2013 IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH), Jul 2013, Brooklyn, United States. ⟨10.1109/NanoArch.2013.6623047⟩
Communication dans un congrès hal-01745759v1
Image document

Crossbar architecture based on 2R complementary resistive switching memory cell

Weisheng Zhao , Y Zhang , Jacques-Olivier Klein , Damien S Querlioz , Dafine Ravelosona
2012 IEEE/ACM International Symposium on Nanoscale Architectures , Jul 2012, Amsterdam, Netherlands. ⟨10.1145/2765491.2765508⟩
Communication dans un congrès hal-01745351v1
Image document

Design challenges for prototypical and emerging memory concepts relying on resistance switching

Christophe Muller , D. Deleruyelle , O. Ginez , Jean-Michel Portal , Marc Bocquet
2011 IEEE Custom Integrated Circuits Conference (CICC 2011), Sep 2011, San Jose, CA, United States. ⟨10.1109/CICC.2011.6055316⟩
Communication dans un congrès hal-01745644v1
Image document

On the electrical variability of resistive-switching memory devices based on NiO oxide

S Tirano , Marc Bocquet , Christophe Muller , D. Deleruyelle , L. Perniola
2011 IEEE 42nd Semiconductor Interface Specialists Conference (SISC), Dec 2011, Arlington, United States
Communication dans un congrès hal-01745633v1