Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

39 résultats

System-level Evaluation of Chip-Scale Silicon Photonic Networks for Emerging Data-Intensive Applications

Aditya Narayan , Yvain Thonnart , Pascal Vivet , Ajay Joshi , Ayse Coskun
2020 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2020, Grenoble, France. pp.1444-1449, ⟨10.23919/DATE48585.2020.9116496⟩
Communication dans un congrès cea-03471369v1
Image document

Material and integration challenges for large scale Si quantum computing

M. Vinet , T. Bedecarrats , B. Cardoso Paz , B. Martinez , E. Chanrion , et al.
IEDM 2021 - 2021 IEEE International Electron Devices Meeting, Dec 2021, San Francisco, United States. pp.14.2.1-14.2.4, ⟨10.1109/IEDM19574.2021.9720708⟩
Communication dans un congrès cea-04413532v1

A 220GOPS 96-Core Processor with 6 Chiplets 3D-Stacked on an Active Interposer Offering 0.6ns/mm Latency, 3Tb/s/mm 2 Inter-Chiplet Interconnects and 156mW/mm 2 @ 82%-Peak-Efficiency DC-DC Converters

Pascal Vivet , Eric Guthmuller , Yvain Thonnart , Gaël Pillonnet , Guillaume Moritz , et al.
2020 IEEE International Solid- State Circuits Conference - (ISSCC), Feb 2020, San Francisco, United States. pp.46-48, ⟨10.1109/ISSCC19947.2020.9062927⟩
Communication dans un congrès hal-02985945v1

WAVES: Wavelength Selection for Power-Efficient 2.5D-Integrated Photonic NoCs

Aditya Narayan , Yvain Thonnart , Pascal Vivet , Cesar Fuguet Tortolero , Ayse Coskun
2019 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2019, Florence, France. pp.516-521, ⟨10.23919/DATE.2019.8715036⟩
Communication dans un congrès hal-04392763v1
Image document

3D advanced integration technology for heterogeneous systems

Pascal Vivet , Christian Bernard , Fabien Clermidy , Denis Dutoit , Eric Guthmuller , et al.
3D Systems Integration Conference (3DIC), 2015 International, Aug 2015, Sendai, Japan. ⟨10.1109/3DIC.2015.7334468⟩
Communication dans un congrès hal-01247896v1
Image document

Complementary Communication Path for Energy Efficient On-Chip Optical Interconnects

Hui Li , Sébastien Le Beux , Yvain Thonnart , Ian O'Connor
52th IEEE Design Automation Conference (DAC), Jun 2015, San francisco, United States. ⟨10.1145/2744769.2744810⟩
Communication dans un congrès hal-01243276v1
Image document

Formal Verification of CHP Specifications with CADP - Illustration on an Asynchronous Network-on-Chip

Gwen Salaün , Wendelin Serwe , Yvain Thonnart , Pascal Vivet
Proceedings of the 13th IEEE International Symposium on Asynchronous Circuits and Systems ASYNC 2007, Mar 2007, Berkeley, California, United States
Communication dans un congrès inria-00200450v1

Towards scalable quantum computing based on silicon spin

A. Crippa , R. Ezzouch , A. Aprá , A. Amisse , R. Laviéville , et al.
2019 Symposium on VLSI Technology, Jun 2019, Kyoto, France. pp.T30-T31, ⟨10.23919/VLSIT.2019.8776562⟩
Communication dans un congrès hal-03537327v1
Image document

Going Remote: Challenges and Opportunities to Remote Learning, Work, and Collaboration

Alicia Klinefelter , Keith Bowman , Huichu Liu , Yvain Thonnart
IEEE Solid-State Circuits Magazine, 2021, 13 (2), pp.107-110. ⟨10.1109/MSSC.2021.3072306⟩
Article dans une revue cea-03452243v1

Semiconducting qubits with embedded control and readout cryo-CMOS circuits

Baptiste Jadot , Marcos Zurita , Gérard Billiot , Yvain Thonnart , Loïck Le Guevel , et al.
APS March Meeting, Mar 2023, Las Vegas, United States
Communication dans un congrès cea-04415539v1

Coherent Crosstalk Noise Analyses in Ring-Based Optical Interconnects

Luan Duong , Mahdi Nikdast , Jiang Xu , Zhehui Wang , Yvain Thonnart , et al.
Design, Automation and Test in Europe, Mar 2015, Grenoble, France. pp.501-506, ⟨10.7873/DATE.2015.0823⟩
Communication dans un congrès hal-02088313v1

A fine grain variation-aware dynamic Vdd-hopping AVFS architecture on a 32nm GALS MPSoC

E. Beigne , I. Miro-Panades , Yvain Thonnart , L. Alacoque , Pascal Vivet , et al.
2013 Proceedings of the ESSCIRC (ESSCIRC), Sep 2013, Bucharest, Romania. pp.57-60, ⟨10.1109/ESSCIRC.2013.6649071⟩
Communication dans un congrès cea-01837015v1

A Design-for-Test Implementation of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application

Xuan-Tu Tran , Yvain Thonnart , Jean Durupt , Vincent Beroulle , Chantal Robach
The 2nd ACM/IEEE International Symposium on Networks-on-Chip, Apr 2008, Newcastle, United Kingdom. pp. 149-158
Communication dans un congrès hal-00331239v1
Image document

POPSTAR: a robust modular optical NoC architecture for chiplet-based 3D integrated systems

Yvain Thonnart , Stephane Bernabe , Jean Charbonnier , Christian Bernard , David Coriat , et al.
DATE 2020 - Design, Automation & Test in Europe Conference & Exhibition, Mar 2020, Grenoble, France. pp.1456-1461, ⟨10.23919/DATE48585.2020.9116214⟩
Communication dans un congrès cea-03471376v1
Image document

SamurAI: A Versatile IoT Node With Event-Driven Wake-Up and Embedded ML Acceleration

Ivan Miro-Panades , Benoit Tain , Jean-Frederic Christmann , David Coriat , Romain Lemaire , et al.
IEEE Journal of Solid-State Circuits, 2022, pp.1. ⟨10.1109/JSSC.2022.3198505⟩
Article dans une revue hal-04061172v1
Image document

Superconducting routing platform for large-scale integration of quantum technologies

C. Thomas , J-P Michel , E. Deschaseaux , J. Charbonnier , R. Souil , et al.
Materials for Quantum Technology, 2022, 2 (3), pp.035001. ⟨10.1088/2633-4356/ac88ae⟩
Article dans une revue hal-04201817v1

Challenges and perspectives in the modeling of spin qubits

Y. Niquet , L. Hutin , B. Martinez Diaz , B. Venitucci , J. Li , et al.
2020 IEEE International Electron Devices Meeting (IEDM), Dec 2020, San Francisco, United States. pp.30.1.1-30.1.4, ⟨10.1109/IEDM13553.2020.9371962⟩
Communication dans un congrès hal-03455209v1
Image document

Architecting optically controlled Phase Change Memory

Aditya Narayan , Yvain Thonnart , Pascal Vivet , Ayse Coskun , Ajay Joshi
ACM Transactions on Architecture and Code Optimization, 2022, 19 (4), pp.48. ⟨10.1145/3533252⟩
Article dans une revue cea-04413263v1
Image document

Silicon Photonics for Terabit/s communication in Data Centers and Exascale Computers

Stéphane Bernabe , Quentin Wilmart , Kobi Hasharoni , Karim Hassan , Yvain Thonnart , et al.
Solid-State Electronics, 2021, 179, pp.10.1016/j.sse.2020.107928. ⟨10.1016/j.sse.2020.107928⟩
Article dans une revue cea-03463711v1
Image document

Designing a multi-chiplet manycore system using the POPSTAR optical NoC architecture (invited)

Yvain Thonnart
2021 ACM/IEEE International Workshop on System Level Interconnect Prediction (SLIP), Nov 2021, Munich (virtual event), France. pp.42, ⟨10.1109/SLIP52707.2021.00016⟩
Communication dans un congrès cea-04455898v1
Image document

19.2 A 110mK 295µW 28nm FDSOI CMOS Quantum Integrated Circuit with a 2.8GHz Excitation and nA Current Sensing of an On-Chip Double Quantum Dot

Loick Le Guevel , Gerard Billiot , Xavier Jehl , Silvano de Franceschi , Marcos Zurita , et al.
2020 IEEE International Solid- State Circuits Conference - (ISSCC), Feb 2020, San Francisco, United States. pp.306-308, ⟨10.1109/ISSCC19947.2020.9063090⟩
Communication dans un congrès hal-02986737v1

PROWAVES: Proactive Runtime Wavelength Selection for Energy-efficient Photonic NoCs

Aditya Narayan , Yvain Thonnart , Pascal Vivet , Ayse K. Coskun
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40 (10), pp.10.1109/TCAD.2020.3037327. ⟨10.1109/TCAD.2020.3037327⟩
Article dans une revue cea-03452160v1

Coherent and Incoherent Crosstalk Noise Analyses in Interchip/Intrachip Optical Interconnection Networks

Luan Duong , Zhehui Wang , Mahdi Nikdast , Jiang Xu , Peng Yang , et al.
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2016, 24 (7), pp.2475-2487. ⟨10.1109/TVLSI.2015.2511039⟩
Article dans une revue hal-02088308v1

Design-for-Test Approach of an Asynchronous Network-on-Chip Architecture and its Associated Test Pattern Generation and Application

Xuan-Tu Tran , Yvain Thonnart , Jean Durupt , Vincent Beroulle , Chantal Robach
IET Computers & Digital Techniques, 2009, 3 (5), pp.487-500. ⟨10.1049/iet-cdt.2008.0072⟩
Article dans une revue hal-01105280v1
Image document

In-situ Fmax/Vmin tracking for energy efficiency and reliability optimization

Ivan Miro-Panades , Edith Beigné , Olivier Billoint , Yvain Thonnart
23rd International Symposium on On-Line Testing and Robust System Design (IOLTS), IEEE, Jul 2017, Thessaloniki, Greece. pp.96-99, ⟨10.1109/IOLTS.2017.8046240⟩
Communication dans un congrès cea-02194423v1
Image document

A cryogenic active router for qubit array biasing from DC to 320MHz at 100nm gate pitch

Baptiste Jadot , Marcos Zurita , Gérard Billiot , Yvain Thonnart , Loïck Le Guevel , et al.
ESSCIRC 2023 - IEEE 49th European Solid State Circuits Conference, Sep 2023, Lisbonne, Portugal. pp.157-160, ⟨10.1109/ESSCIRC59616.2023.10268775⟩
Communication dans un congrès cea-04415538v1

How 3D integration technologies enable advanced compute node for Exascale-level High Performance Computing?

D. Dutoit , P. Coudrain , P.-Y. Martinez , P. Vivet , J. Charbonnier , et al.
2020 IEEE International Electron Devices Meeting (IEDM), Dec 2020, San Francisco, United States. pp.15.3.1-15.3.4, ⟨10.1109/IEDM13553.2020.9372037⟩
Communication dans un congrès cea-03759943v1

3D Silicon Photonic Interposer Process Integration for Chiplet based 3D Systems

Damien Saint-Patrice , Stephane Malhouitre , Jean Charbonnier , Myriam Assous , Thierry Pellerin , et al.
10th MiNaPAD, Jun 2023, Grenoble, France
Communication dans un congrès cea-04239721v1
Image document

ISSCC 2023 evening event: the path to sustainable IC ecosystems [conference reports]

Yvain Thonnart , Rahul Rao
IEEE Journal of Solid-State Circuits, 15 (2), pp.164-165, 2023, ⟨10.1109/MSSC.2023.3269449⟩
N°spécial de revue/special issue cea-04413234v1

A 4 x 4 x 2 Homogeneous Scalable 3D Network-on-Chip Circuit With 326 MFlit/s 0.66 pJ/b Robust and Fault Tolerant Asynchronous 3D Links

Pascal Vivet , Yvain Thonnart , Romain Lemaire , Cristiano Santos , Edith Beigné , et al.
IEEE Journal of Solid-State Circuits, 2016, 52 (1), pp.33 - 49. ⟨10.1109/JSSC.2016.2611497⟩
Article dans une revue hal-01447433v1