Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

34 résultats

On-Chip Process Variability Monitoring

Nabila Moubdi , Robin M. Wilson , Sylvain Engels , Nadine Azemard , Philippe Maurine
DATE: Design, Automation and Test in Europe, Apr 2009, Nice, France
Communication dans un congrès lirmm-00374368v1

On-Chip Process Variability Monitoring Flow

Nabila Moubdi , Philippe Maurine , Robin M. Wilson , Nadine Azemard , Sylvain Engels , et al.
Journal of Low Power Electronics, 2010, 6 (4), pp.601-606. ⟨10.1166/jolpe.2010.1109⟩
Article dans une revue lirmm-00546368v1
Image document

Continuous Representation of the Performance of a CMOS Library

Benoit Lasbouygues , J. Schindler , Sylvain Engels , Philippe Maurine , Xavier Michel , et al.
ESSCIRC: European Solid-State Circuits Conference, Sep 2003, Estoril, Portugal. pp.595-598, ⟨10.1109/ESSCIRC.2003.1257205⟩
Communication dans un congrès lirmm-00239459v1

A Simple Statistical Timing Analysis Flow and its Application to Timing Margin Evaluation

Vincent Migairou , Robin Wilson , Sylvain Engels , Zeqin Wu , Nadine Azemard , et al.
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2007, Gothenburg, Sweden. pp.138-147, ⟨10.1007/978-3-540-74442-9_14⟩
Communication dans un congrès istex lirmm-00175076v1

Timing Margin Evaluation with a Simple Statistical Timing Analysis Flow

Sylvain Engels , Robin M. Wilson , Nadine Azemard , Philippe Maurine , Vincent Migairou
Journal of Embedded Computing, 2009, 3 (3), pp.221-229. ⟨10.3233/JEC-2009-0094⟩
Article dans une revue lirmm-00371162v1

Shaping Electromagnetic Emissions of Event-Driven Circuits Thanks to Genetic Algorithms

Sophie Germain , Sylvain Engels , Laurent Fesquet
Third International Conference on Advances in Signal, Image, and Video Processing (SIGNAL 2018), May 2018, Nice, France
Communication dans un congrès hal-01827416v1

Digital Sigma Delta Oscillator : Design Considerations

Maher Jridi , Chiheb Rebai , Dominique Dallet , Sylvain Engels , Laurent Dugoujon
Conference on Design of Circuits and Integrated Systems, Nov 2004, Bordeaux, France. pp.1
Communication dans un congrès hal-00183665v1

Bottom-up digital system-level reliability modeling

N.R. Amador , V. Huard , E. Pion , F. Cacho , D. Croain , et al.
Custom Integrated Circuits Conference (CICC'11), Sep 2011, San Jose, Ca., United States. pp.1 - 4, ⟨10.1109/CICC.2011.6055343⟩
Communication dans un congrès hal-00651936v1

A Design Flow for Shaping Electromagnetic Emissions in Micropipeline Circuits

Sophie Germain , Sylvain Engels , Laurent Fesquet
24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2018), May 2018, Vienna, Austria
Communication dans un congrès hal-01726928v1

Voltage Scaling and Body Biasing Methodology for High Performance Hardwired LDPC

Nabila Moubdi , Philippe Maurine , Nadine Azemard , Robin M. Wilson , Sylvain Engels
ICICDT: International Conference on Integrated Circuit Design & Technology, Jun 2010, Grenoble, France. pp.82-85, ⟨10.1109/ICICDT.2010.5510289⟩
Communication dans un congrès lirmm-00546316v1

A Simple Statistical Timing Analysis Flow and Its Application to Timing Margin Evaluation

Vincent Migairou , Robin Wilson , Sylvain Engels , Zeqin Wu , Nadine Azemard , et al.
FTFC: Faible Tension - Faible Consommation, May 2007, Paris, France. pp.19-25
Communication dans un congrès lirmm-00178454v1

Logical Effort Model Extension to Propagation Delay Representation

Benoit Lasbouygues , Sylvain Engels , Robin P. Wilson , Philippe Maurine , Nadine Azemard , et al.
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25 (9), pp.1677-1684. ⟨10.1109/TCAD.2005.857400⟩
Article dans une revue lirmm-00104315v1
Image document

Product On-Chip Process Compensation for Low Power and Yield Enhancement

Nabila Moubdi , Philippe Maurine , Robin M. Wilson , Nadine Azemard , Vincent Dumettier , et al.
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2009, Delft, Netherlands. pp.247-255, ⟨10.1007/978-3-642-11802-9_29⟩
Communication dans un congrès istex lirmm-00433504v1
Image document

A Novel Continuous TDC Measurement Technique

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
27th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2021), Sep 2021, Portland, United States
Communication dans un congrès hal-03662324v1

Data-driven Pruning for Bundled-data Circuits

Cristiano Merio , Xavier Lesage , Ali Naimi , Sylvain Engels , Katell Morin-Allory , et al.
28th International Symposium on Asynchronous Circuits and Systems (ASYNC 2023, Jul 2023, Beijing, China
Communication dans un congrès hal-04331929v1
Image document

Statistical Characterization of Library Timing Performance

Vincent Migairou , Robin P. Wilson , Sylvain Engels , Nadine Azemard , Philippe Maurine
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2006, Montpellier, France. pp.468-476, ⟨10.1007/11847083_45⟩
Communication dans un congrès istex lirmm-00093233v1

On-Chip Process Variability Monitoring

Nabila Moubdi , Philippe Maurine , Robin M. Wilson , Nadine Azemard , Vincent Dumettier , et al.
VARI: Workshop on CMOS Variability, May 2010, Montpellier, France
Communication dans un congrès lirmm-00546337v1
Image document

Représentation Unifiée des Performances Temporelles d'une Bibliothèque de Cellules Standards

Benoit Lasbouygues , J. Schindler , Sylvain Engels , Philippe Maurine , Nadine Azemard , et al.
FTFC: Faible Tension - Faible Consommation, May 2003, Paris, France. pp.119-124
Communication dans un congrès lirmm-00269519v1

Comparison between an ASK Event-Based Demodulation and a Digital IQ Demodulation

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
7th International Conference on Event-Based Control, Communication, and Signal Processing (EBCCSP 2021), Jun 2021, Krakow, Poland. ⟨10.1109/EBCCSP53293.2021.9502367⟩
Communication dans un congrès hal-03432059v1

An Event-Based Strategy for ASK demodulation

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
5th International Conference on Event-Based Control, Communication, and Signal Processing, May 2019, Vienna, Austria
Communication dans un congrès hal-02157360v1

System and Method for Managing Requests in an Asynchronous Pipeline

Sylvain Engels , Laurent Fesquet , Sophie Germain
United States, Patent n° : US2020184110 (A1). 2020
Brevet hal-02952926v1

A Comprehensive Performance Macro-Modeling of on-Chip RC Interconnects Considering Line Shielding Effects

Sylvain Engels , Robin Wilson , Nadine Azemard , Philippe Maurine
Integration, the VLSI Journal, 2006, 39 (4), pp.433-456. ⟨10.1016/j.vlsi.2005.08.007⟩
Article dans une revue istex lirmm-00106854v1

Body-Bias Micro-Generators for Activity-Driven Power Management

Laurent Fesquet , Yoan Decoudu , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff , et al.
FDSOI workshop at DATE Conference 2020, Mar 2020, Grenoble, France
Communication dans un congrès hal-02956260v1

Développer la compétence recherche en école d’ingénieurs

Laurent Fesquet , Xavier Lesage , Cristiano Merio , Ali Naimi , Sylvain Engels
17èmes journées pédagogiques du CNFM (JPCNFM 2023), Nov 2023, Toulouse, France
Communication dans un congrès hal-04332030v1

Timing Performance Representation of a CMOS Standard Cell Library

Benoit Lasbouygues , J. Schindler , Sylvain Engels , Philippe Maurine , Xavier Michel , et al.
DCIS: Design of Circuits and Integrated Systems, Nov 2003, Ciudad Real, Spain. pp.83-88
Communication dans un congrès lirmm-00239460v1

A Novel Event-Based Method for ASK Demodulation

Rodrigo Iga , Sylvain Engels , Laurent Fesquet
IEEE 13th Latin America Symposium on Circuits and System (LASCAS 2022), Mar 2022, Puerto Varas, Chile. pp.1-4, ⟨10.1109/LASCAS53948.2022.9789085⟩
Communication dans un congrès hal-04023660v1

Method for Data-Driven Pruning in Micropipeline Circuits

Cristiano Merio , Xavier Lesage , Ali Naimi , Sylvain Engels , Katell Morin-Allory , et al.
31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Oct 2023, Sharjah, United Arab Emirates
Communication dans un congrès hal-04331953v1

Event-based processing: a new paradigm for low-power

Laurent Fesquet , Sophie Germain , Jean Simatic , Abdelkarim Cherkaoui , Tugdual Le Pelleter , et al.
19th IEEE Mediterranean Electrotechnical Conference (IEEE Melecon’18), May 2018, Marrakesh, Morocco
Communication dans un congrès hal-01726778v1

Fine Grain Body-Biasing: A strategy for asynchronous circuits

Thiago Ferreira de Paiva Leite , Rodrigo Iga Jadue , Sylvain Engels , Rodrigo Possamai Bastos , Laurent Fesquet
European Nanoelectronics Applications, Design and Technology Conference (ADTC), Jun 2018, Grenoble, France
Communication dans un congrès hal-01828009v1

A fine grain variation-aware dynamic Vdd-hopping AVFS architecture on a 32nm GALS MPSoC

E. Beigne , I. Miro-Panades , Yvain Thonnart , L. Alacoque , Pascal Vivet , et al.
2013 Proceedings of the ESSCIRC (ESSCIRC), Sep 2013, Bucharest, Romania. pp.57-60, ⟨10.1109/ESSCIRC.2013.6649071⟩
Communication dans un congrès cea-01837015v1