Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

467 résultats
Image document

CHARGE PUMPING IN SILICON ON INSULATOR STRUCTURES USING GATED P-I-N DIODES

T. Elewa , H. Haddara , S. Cristoloveanu , M. Bruel
Journal de Physique Colloques, 1988, 49 (C4), pp.C4-137-C4-140. ⟨10.1051/jphyscol:1988426⟩
Article dans une revue istex jpa-00227924v1

Thickness characterization by capacitance derivative in FDSOI p-i-n gated diodes

C. Navarro , M. Bawedin , F. Andrieu , J. Cluzel , Y. Solaro , et al.
2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2015, Bologna, France. ⟨10.1109/ULIS.2015.7063745⟩
Communication dans un congrès hal-01758618v1

Fully Depleted SOI Characterization by Capacitance Analysis of p-i-n Gated Diodes

Carlos Navarro , Mayline Bawedin , François Andrieu , Jacques Cluzel , Sorin Cristoloveanu
IEEE Electron Device Letters, 2015, 36 (1), pp.5 - 7. ⟨10.1109/LED.2014.2368596⟩
Article dans une revue hal-01644390v1

Second Harmonic Generation: A Non-Destructive Characterization Method for Dielectric-Semiconductor Interfaces

I. Ionica , D. Damianos , A. Kaminski-Cachopo , A. Bouchard , X. Mescot , et al.
2018 International Semiconductor Conference (CAS), Oct 2018, Sinaia, Romania. pp.35-42, ⟨10.1109/SMICND.2018.8539758⟩
Communication dans un congrès hal-01974421v1

Record ON currents for FDSOI HK-MG n & p-type tunnel FETs bu using ultrathin strained SiGe body.

A. Villalon , C. Le Royer , D. Cooper , S. Cristoloveanu , M. Casse , et al.
EuroSOI 2013, Jan 2013, Paris, France. pp.8.1
Communication dans un congrès hal-01073033v1

Innovative ESD protections for UTBB FD-SOI technology.

Y. Solaro , P. Fonteneau , C.-A. Legrand , D. Marin-Cudraz , J. Passieux , et al.
IEDM 2013, Dec 2013, Washington, United States. pp.180-183, ⟨10.1109/IEDM.2013.6724580⟩
Communication dans un congrès hal-01073801v1

Adaptation of the pseudo-metal-oxide-semiconductor field effect transistor technique to ultrathin silicon-on-insulator wafers characterization: Improved set-up, measurement procedure, parameter extraction, and modeling

W. van den Daele , C. Malaquin , N. Baumel , O. Kononchuk , S. Cristoloveanu
Journ. Appl. Phys., 2013, 114 (16), pp.164502:1-9. ⟨10.1063/1.4826631⟩
Article dans une revue hal-01017535v1

1/f Noise Characteristics of AlGaN/GaN FinFETs with and without TMAH surface treatment

V. Sindhuri , Dong-Hyeok Son , Dong-Gi Lee , Sunghwan Sakong , Yoon-Ha Jeong , et al.
Microelectronic Engineering, 2015, 147, pp.134-136. ⟨10.1016/j.mee.2015.04.023⟩
Article dans une revue hal-02006580v1

Frontiers in Electronics

Sorin Cristoloveanu, , M.S. Shur
World Scientific, 55, 177 p., 2014, Selected Topics in Electronics and Systems, 978-981-4651-76-9
Ouvrages hal-02010282v1

The mystery of the Z 2 -FET 1T-DRAM memory

M. Bawedin , H. El Dirani , K. Lee , M. Parihar , J. Lacord , et al.
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.51-52, ⟨10.1109/ULIS.2017.7962598⟩
Communication dans un congrès hal-02007047v1

Experimental Demonstration of Operational Z 2 -FET Memory Matrix

Santiago Navarro , Carlos Marquez , Hassan El Dirani , Philippe Galy , Maryline Bawedin , et al.
IEEE Electron Device Letters, 2018, 39 (5), pp.660-663. ⟨10.1109/LED.2018.2819801⟩
Article dans une revue hal-02006998v1

GDNMOS: A new high voltage device for ESD protection in 28nm UTBB FD-SOI technology

S. Athanasiou , Charles-Alexandre Legrand , S. Cristoloveanu , Ph. Galy
2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.151-154, ⟨10.1109/ULIS.2016.7440075⟩
Communication dans un congrès hal-02006258v1

Toward Gated-Diode-BIMOS for thin silicon ESD protection in advanced FD-SOI CMOS technologies

Louise de Conti , Thomas Bedecarrats , Maud Vinet , Sorin Cristoloveanu, , Philippe Galy
2017 IEEE International Conference on IC Design & Technology (ICICDT), May 2017, Austin, United States. pp.44-47, ⟨10.1109/ICICDT.2017.7993509⟩
Communication dans un congrès hal-02007065v1

Body factor scaling in UTBB SOI with supercoupling effect

K.R.A. Sasaki , J.A. Martino , C. Navarro , M. Bawedin , F. Andrieu , et al.
2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.174-177, ⟨10.1109/ULIS.2016.7440081⟩
Communication dans un congrès hal-02006211v1

Interface Coupled Photodetector (ICPD) With High Photoresponsivity Based on Silicon-on-Insulator Substrate (SOI)

Jianan Deng , Jinhai Shao , Bingrui Lu , Yifang Chen , Alexander Zaslavsky , et al.
IEEE Journal of the Electron Devices Society, 2018, 6, pp.557-564. ⟨10.1109/JEDS.2017.2788403⟩
Article dans une revue hal-02007005v1

Competitive 1T-DRAM in 28 nm FDSOI technology for low-power embedded memory

H. El Dirani , M. Bawedin , K. Lee , M. Parihar , X. Mescot , et al.
2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2016, Burlingame, United States. pp.1-2, ⟨10.1109/S3S.2016.7804402⟩
Communication dans un congrès hal-02006297v1

Back-gated InGaAs-on-insulator lateral N+NN+ MOSFET: Fabrication and typical conduction mechanisms

H.J. Park , L. Pirro , L. Czornomaz , I. Ionica , M. Bawedin , et al.
Solid-State Electronics, 2017, 128, pp.80-86. ⟨10.1016/j.sse.2016.10.019⟩
Article dans une revue hal-02003226v1

Scanning microwave microscopy for non-destructive characterization of SOI wafers

L. Michalas , I. Ionica , E. Brinciotti , L. Pirro , F. Kienberger , et al.
2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.238-241, ⟨10.1109/ULIS.2016.7440097⟩
Communication dans un congrès hal-02004364v1

Special characterization techniques for advanced FDSOI process

S. Cristoloveanu , M. Bawedin , I. Ionica
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2015, Rohnert Park, United States. pp.9a.1, ⟨10.1109/S3S.2015.7333543⟩
Communication dans un congrès hal-02004273v1

Z2-FinFET: 1T-DRAM operation

Seong Il Kim , Yong Tae Kim , Do Hong Kim , Francisco Gamiz , Carlos Navarro , et al.
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece
Communication dans un congrès hal-02008004v1

1/fNoise Characteristics of AlGaN/GaN FinFETs with and without TMAHsurface treatment

Sindhuri Vodapally , Dong-Hyeok Son, , Dong-Gi Lee , Sunghwan Sakong , Yoon-Ha Jeong , et al.
19th Conference on Insulating Films on Semiconductors (INFOS), Jun 2015, Udine, Italy. pp.197-198
Communication dans un congrès hal-02009779v1

Special characterization techniques for advanced FDSOI

Sorin Cristoloveanu,
IEEE Minicolloquium, Apr 2016, Sao Paolo, Brazil
Communication dans un congrès hal-02009840v1

A lesson from archeology: The buried gates

Antoine Litty , Yohann Solaro , Hassan El Dirani , Sylvie Ortolland , Pascal Fonteneau , et al.
2015 Advanced Research Workshop Future Trends in Microelectronics: Journey into the Unknown, Jun 2015, Mallorca, Spain
Communication dans un congrès hal-02008421v1

Z2-FET: A promising FDSOI device for ESD protection

Yohann Solaro , Jing Wan , Pascal Fonteneau , Claire Fenouillet-Beranger , Cyrille Le Royer , et al.
Solid-State Electronics, 2014, 97, pp.23-29. ⟨10.1016/j.sse.2014.04.032⟩
Article dans une revue hal-02002977v1

Superior performance and Hot Carrier reliability of strained FDSOI nMOSFETs for advanced CMOS technology nodes

G. Besnard , X. Garros , F. Andrieu , P. Nguyen , W. van den Daele , et al.
Solid-State Electronics, 2015, 113, pp.127-131. ⟨10.1016/j.sse.2015.05.021⟩
Article dans une revue hal-02003128v1

Dual Ground Plane for high-voltage MOSFET in UTBB FDSOI technology

Antoine Litty , Sylvie Ortolland , Dominique Golanski , Sorin Cristoloveanu
Solid-State Electronics, 2015, 112, pp.7-12. ⟨10.1016/j.sse.2015.02.013⟩
Article dans une revue hal-02003097v1

Insight into carrier lifetime impact on band-modulation devices

Mukta Singh Parihar , Kyung Hwa Lee , Hyung Jin Park , Joris Lacord , Sébastien Martinie , et al.
Solid-State Electronics, 2018, 143, pp.41-48. ⟨10.1016/j.sse.2017.12.007⟩
Article dans une revue hal-02003291v1

Fabrication and electrical characterizations of SGOI tunnel FETs with gate length down to 50 nm

C. Le Royer , A. Villalon , L. Hutin , S. Martinie , P. Nguyen , et al.
Solid-State Electronics, 2016, 115, pp.167-172. ⟨10.1016/j.sse.2015.10.007⟩
Article dans une revue hal-02003196v1

Doping profile extraction in thin SOI films: Application to A2RAM

F. Tcheme Wakam , J. Lacord , M. Bawedin , S. Martinie , S. Cristoloveanu , et al.
2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.1-4, ⟨10.1109/ULIS.2018.8354339⟩
Communication dans un congrès hal-02050322v1

Out-of-equilibrium body potential measurements in pseudo-MOSFET for biosensing

Licinius Benea , Maryline Bawedin , Cécile Delacour , Sorin Cristoloveanu , Irina Ionica
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.19-22, ⟨10.1109/ULIS.2017.7962590⟩
Communication dans un congrès hal-02007128v1