Accéder directement au contenu

Patrick Girard

455
Documents
Identifiants chercheurs

Présentation

Publications

Image document

Non-Volatile Latch Designs with Node-Upset Tolerance and Recovery using Magnetic Tunnel Junctions and CMOS

Aibin Yan , Litao Wang , Jie Cui , Zhengfeng Huang , Tianming Ni
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2024, 32 (1), pp.116-127. ⟨10.1109/TVLSI.2023.3323562⟩
Article dans une revue lirmm-04239391v1
Image document

Two Double-Node-Upset-Hardened Flip-Flop Designs for High-Performance Applications

Aibin Yan , Aoran Cao , Zhengfeng Huang , Jie Cui , Tianming Ni
IEEE Transactions on Emerging Topics in Computing, 2023, 11 (4), pp.1070-1081. ⟨10.1109/TETC.2023.3317070⟩
Article dans une revue lirmm-04239309v1
Image document

Analysis of resistive defects on a foundry 8T SRAM-based IMC architecture

Lila Ammoura , Marie-Lise Flottes , Patrick Girard , Jean-Philippe Noel , Arnaud Virazel
Microelectronics Reliability, 2023, 147, pp.115029. ⟨10.1016/j.microrel.2023.115029⟩
Article dans une revue hal-04129470v1
Image document

A Highly Robust and Low-Power Flip-Flop Cell With Complete Double-Node-Upset Tolerance for Aerospace Applications

Aibin Yan , Yuting He , Xiaoxiao Niu , Jie Cui , Tianming Ni
IEEE Design & Test, 2023, 40 (4), pp.34-41. ⟨10.1109/MDAT.2023.3267747⟩
Article dans une revue lirmm-04236363v1
Image document

Designs of Two Quadruple-Node-Upset Self-Recoverable Latches for Highly Robust Computing in Harsh Radiation Environments

Aibin Yan , Zhixing Li , Jie Cui , Zhengfeng Huang , Tianming Ni
IEEE Transactions on Aerospace and Electronic Systems, 2023, 59 (3), pp.2885-2897. ⟨10.1109/TAES.2022.3219372⟩
Article dans une revue lirmm-04236216v1
Image document

Designs of BCD Adder Based on Excess-3 Code in Quantum-Dot Cellular Automata

Aibin Yan , Runqi Liu , Jie Cui , Tianming Ni , Patrick Girard
IEEE Transactions on Circuits and Systems II: Express Briefs, 2023, 70 (6), pp.2256-2260. ⟨10.1109/TCSII.2023.3237695⟩
Article dans une revue lirmm-04236366v1
Image document

Two sextuple cross-coupled SRAM cells with double-node-upset protection and cost optimization for aerospace applications

Aibin Yan , Jing Xiang , Yang Chang , Zhengfeng Huang , Jie Cui
Microelectronics Journal, 2023, 139, pp.105908. ⟨10.1016/j.mejo.2023.105908⟩
Article dans une revue lirmm-04239447v1
Image document

LDAVPM: A Latch Design and Algorithm-based Verification Protected against Multiple-Node-Upsets in Harsh Radiation Environments

Aibin Yan , Zhixing Li , Jie Cui , Zhengfeng Huang , Tianming Ni
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023, 42 (6), pp.2069-2073. ⟨10.1109/TCAD.2022.3213212⟩
Article dans une revue lirmm-03770056v1
Image document

Designs of Array Multipliers with an Optimized Delay in Quantum-Dot Cellular Automata

Aibin Yan , Xuehua Li , Runqi Liu , Zhengfeng Huang , Patrick Girard
Electronics, 2023, 12 (14), pp.3189. ⟨10.3390/electronics12143189⟩
Article dans une revue lirmm-04239247v1
Image document

All-Spin PUF: An Area Efficient and reliable PUF Design with Signature Improvement for Spin-Transfer Torque Magnetic Cell-Based All-Spin Circuits

Kangwei Xu , Dongrong Zhang , Qiang Ren , Yuanqing Cheng , Patrick Girard
ACM Journal on Emerging Technologies in Computing Systems, 2022, 18 (4), pp.1-20/71. ⟨10.1145/3517811⟩
Article dans une revue lirmm-03768916v1
Image document

Designs of Level-Sensitive T Flip-Flops and Polar Encoders Based on Two XOR/XNOR Gates

Aibin Yan , Runqi Liu , Zhengfeng Huang , Patrick Girard , Xiaoqing Wen
Electronics, 2022, 11 (10), pp.1658. ⟨10.3390/electronics11101658⟩
Article dans une revue lirmm-03769025v1
Image document

Novel Quadruple-Node-Upset-Tolerant Latch Designs with Optimized Overhead for Reliable Computing in Harsh Radiation Environments

Aibin Yan , Zhelong Xu , Xiangfeng Feng , Jie Cui , Zhili Chen
IEEE Transactions on Emerging Topics in Computing, 2022, 10 (1), pp.404-413. ⟨10.1109/TETC.2020.3025584⟩
Article dans une revue lirmm-03031709v1
Image document

A ReRAM-Based Non-Volatile and Radiation-Hardened Latch Design

Aibin Yan , Shaojie Wei , Yu Chen , Zhengzheng Fan , Zhengfeng Huang
Micromachines, 2022, 13 (11), pp.1802. ⟨10.3390/mi13111802⟩
Article dans une revue lirmm-04236275v1
Image document

A comprehensive framework for cell-aware diagnosis of customer returns

Pierre D’hondt , Safa Mhamdi , Patrick Girard , Arnaud Virazel , Aymen Ladhar
Microelectronics Reliability, 2022, 135, pp.114595. ⟨10.1016/j.microrel.2022.114595⟩
Article dans une revue lirmm-03768999v1
Image document

Quadruple and Sextuple Cross-Coupled SRAM Cell Designs With Optimized Overhead for Reliable Applications

Aibin Yan , Jing Xiang , Aoran Cao , Zhihui He , Jie Cui
IEEE Transactions on Device and Materials Reliability, 2022, 22 (2), pp.282-295. ⟨10.1109/TDMR.2022.3175324⟩
Article dans une revue lirmm-03769794v1
Image document

Cost-Effective and Highly Reliable Circuit Components Design for Safety-Critical Applications

Aibin Yan , Zhengzheng Fan , Liang Ding , Jie Cui , Zhengfeng Huang
IEEE Transactions on Aerospace and Electronic Systems, 2022, 58 (1), pp.517-529. ⟨10.1109/TAES.2021.3103586⟩
Article dans une revue lirmm-03380293v1
Image document

Design of Radiation Hardened Latch and Flip-Flop with Cost-Effectiveness for Low-Orbit Aerospace Applications

Aibin Yan , Aoran Cao , Zhelong Xu , Jie Cui , Tianming Ni
Journal of Electronic Testing: : Theory and Applications, 2021, 37, pp.489-502. ⟨10.1007/s10836-021-05962-0⟩
Article dans une revue lirmm-03377194v1
Image document

Error-Tolerant Reconfigurable VDD 10T SRAM Architecture for IoT Applications

Neha Gupta , Ambika Prasad Shah , Sajid Khan , Santosh Kumar Vishvakarma , Michael Waltl
Electronics, 2021, 10 (14), pp.1718. ⟨10.3390/electronics10141718⟩
Article dans une revue lirmm-03376967v1
Image document

Dual-modular-redundancy and dual-level error-interception based triple-node-upset tolerant latch designs for safety-critical applications

Aibin Yan , Zhihui He , Jun Zhou , Jie Cui , Tianming Ni
Microelectronics Journal, 2021, 111, pp.#105034. ⟨10.1016/j.mejo.2021.105034⟩
Article dans une revue lirmm-03380265v1
Image document

Improving TID Radiation Robustness of a CMOS OxRAM-Based Neuron Circuit by Using Enclosed Layout Transistors

Pablo Ilha Vaz , Patrick Girard , Arnaud Virazel , Hassen Aziza
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29 (6), pp.1122-1131. ⟨10.1109/TVLSI.2021.3067446⟩
Article dans une revue lirmm-03376937v1
Image document

Density Enhancement of RRAMs using a RESET Write Termination for MLC Operation

Hassen Aziza , Said Hamdioui , Moritz Fieback , Mottaqiallah Taouil , Mathieu Moreau
Microelectronics Reliability, 2021, 126, pp.1877-1880. ⟨10.23919/DATE51398.2021.9473967⟩
Article dans une revue hal-03504284v1
Image document

Multi-Level Control of Resistive RAM (RRAM) Using a Write Termination to Achieve 4 Bits/Cell in High Resistance State

Hassen Aziza , Said Hamdioui , Moritz Fieback , Mottaqiallah Taouil , Mathieu Moreau
Electronics, 2021, 10 (18), pp.#2222. ⟨10.3390/electronics10182222⟩
Article dans une revue lirmm-03377249v1
Image document

DOVA PRO: A Dynamic Overwriting Voltage Adjustment Technique for STT-MRAM L1 Cache Considering Dielectric Breakdown Effect

Kangwei Xu , Dongrong Zhang , Patrick Girard , Qiang Ren , Yuanqing Cheng
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29 (7), pp.1325-1334. ⟨10.1109/TVLSI.2021.3073415⟩
Article dans une revue lirmm-03376949v1
Image document

A Survey of Test and Reliability Solutions for Magnetic Random Access Memories

Patrick Girard , Yuanqing Cheng , Arnaud Virazel , Weisheng Zhao , Rajendra Bishnoi
Proceedings of the IEEE, 2021, 109 (2), pp.149-169. ⟨10.1109/JPROC.2020.3029600⟩
Article dans une revue lirmm-03031646v1

Quadruple Cross-Coupled Dual-Interlocked-Storage-Cells based Multiple-Node-Upset-Tolerant Latch Designs

Aibin Yan , Yafei Ling , Jie Cui , Zhili Chen , Zhengfeng Huang
IEEE Transactions on Circuits and Systems Part 1 Fundamental Theory and Applications, 2020, 67 (3), pp.879-890. ⟨10.1109/TCSI.2019.2959007⟩
Article dans une revue lirmm-02399091v1
Image document

A Novel Low-Cost TMR-Without-Voter Based HIS-Insensitive and MNU-Tolerant Latch Design for Space Applications

Aibin Yan , Kang Yang , Jie Cui , Patrick Girard , Xiaoqing Wen
IEEE Transactions on Aerospace and Electronic Systems, 2020, 56 (4), pp.2666-2676. ⟨10.1109/TAES.2019.2951186⟩
Article dans une revue lirmm-02395572v1
Image document

A Survey of Testing Techniques for Approximate Integrated Circuits

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
Proceedings of the IEEE, 2020, 108 (12), pp.2178-2194. ⟨10.1109/JPROC.2020.2999613⟩
Article dans une revue lirmm-02395609v1

Information Assurance through Redundant Design: A Novel TNU Error Resilient Latch for Harsh Radiation Environment

Aibin Yan , Yuanjie Hu , Jie Cui , Zhili Chen , Zhengfeng Huang
IEEE Transactions on Computers, 2020, 69 (6), pp.789-799. ⟨10.1109/TC.2020.2966200⟩
Article dans une revue lirmm-02395602v1
Image document

Cell-Aware Defect Diagnosis of Customer Returns Based on Supervised Learning

Safa Mhamdi , Patrick Girard , Arnaud Virazel , Alberto Bosio , Eric Faehn
IEEE Transactions on Device and Materials Reliability, 2020, 20 (2), pp.329-340. ⟨10.1109/TDMR.2020.2992482⟩
Article dans une revue lirmm-03031823v1

On Using Approximate Computing to Build an Error Detection Scheme for Arithmetic Circuits

Bastien Deveautour , Arnaud Virazel , Patrick Girard , Valentin Gherman
Journal of Electronic Testing: : Theory and Applications, 2020, 36, pp.33-46. ⟨10.1007/s10836-020-05858-5⟩
Article dans une revue lirmm-02395626v1
Image document

Design of Double-Upset Recoverable and Transient-Pulse Filterable Latches for Low Power and Low-Orbit Aerospace Applications

Aibin Yan , Yan Chen , Zhelong Xu , Zhili Chen , Jie Cui
IEEE Transactions on Aerospace and Electronic Systems, 2020, 56 (5), pp.3931-3940. ⟨10.1109/TAES.2020.2982341⟩
Article dans une revue lirmm-03031912v1
Image document

Novel Speed-and-Power-Optimized SRAM Cell Designs With Enhanced Self-Recoverability From Single- and Double-Node Upsets

Aibin Yan , Yang Cheng , Yuanjie Hu , Jun Zhou , Tianming Ni
IEEE Transactions on Circuits and Systems I: Regular Papers, 2020, 67 (12), pp.4684-4695. ⟨10.1109/TCSI.2020.3018328⟩
Article dans une revue lirmm-03031784v1
Image document

Novel Quadruple Cross-Coupled Memory Cell Designs Protected against Single Event Upsets and Double-Node Upsets

Aibin Yan , Yuanjie Hu , Jun Zhou , Jie Cui , Zhengfeng Huang
IEEE Access, 2019, 7, pp.176188-176196. ⟨10.1109/ACCESS.2019.2958109⟩
Article dans une revue lirmm-02395589v1

A Test Pattern Generation Technique for Approximate Circuits Based on an ILP-Formulated Pattern Selection Procedure

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
IEEE Transactions on Nanotechnology, 2019, 18, pp.849-857. ⟨10.1109/TNANO.2019.2923040⟩
Article dans une revue lirmm-02395306v1

Scan-Chain Intra-Cell Aware Testing

Aymen Touati , Alberto Bosio , Patrick Girard , Arnaud Virazel , Matteo Sonza Reorda
IEEE Transactions on Emerging Topics in Computing, 2018, 6 (2), pp.278-287. ⟨10.1109/TETC.2016.2624311⟩
Article dans une revue lirmm-01430859v1

HPET: An Efficient Hybrid Power Estimation Technique to Improve High-Level Power Characterization

Arnaud Virazel , Alejandro Nocua , Alberto Bosio , Patrick Girard , Cyril Chevalier
Journal of Circuits, Systems, and Computers, 2017, 26 (8), pp.#1740004. ⟨10.1142/S0218126617400047⟩
Article dans une revue lirmm-01718575v1

Microprocessor Testing: Functional Meets Structural Test

Aymen Touati , Alberto Bosio , Patrick Girard , Arnaud Virazel , Paolo Bernardi
Journal of Circuits, Systems, and Computers, 2017, 26 (08), ⟨10.1142/S0218126617400072⟩
Article dans une revue lirmm-01718578v1

A Low-Cost Reliability vs. Cost Trade-Off Methodology to Selectively Harden Logic Circuits

Arnaud Virazel , Imran Wali , Bastien Deveautour , Alberto Bosio , Patrick Girard
Journal of Electronic Testing: : Theory and Applications, 2017, 33 (1), pp.25-36. ⟨10.1007/s10836-017-5640-6⟩
Article dans une revue lirmm-01718568v1

A Cross-Level Power Estimation Technique to Improve IP Power Models Quality

Alejandro Nocua , Arnaud Virazel , Alberto Bosio , Patrick Girard , Cyril Chevalier
Journal of Low Power Electronics, 2017, 13 (1), pp.10-28. ⟨10.1166/jolpe.2017.1472⟩
Article dans une revue lirmm-01433322v1

An Effective Power-Aware At-Speed Test Methodology for IP Qualification and Characterization

Kapil Juneja , Darayus Adil Patel , Rajesh Kumar Immadi , Balwant Singh , Sylvie Naudet
Journal of Electronic Testing: : Theory and Applications, 2016, 32 (6), pp.721-733. ⟨10.1007/s10836-016-5621-1⟩
Article dans une revue lirmm-01446887v1

Design for Test and Diagnosis of Power Switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Circuits, Systems, and Computers, 2016, 25 (3), pp.1640013. ⟨10.1142/S0218126616400132⟩
Article dans une revue lirmm-01272986v1

A Hybrid Fault-Tolerant Architecture for Highly Reliable Processing Cores

Imran Wali , Arnaud Virazel , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2016, 32 (2), pp.147-161. ⟨10.1007/s10836-016-5578-0⟩
Article dans une revue lirmm-01354746v1

A Complete Resistive-Open Defect Analysis for Thermally Assisted Switching MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (11), pp.2326-2335. ⟨10.1109/TVLSI.2013.2294080⟩
Article dans une revue lirmm-01248578v1

Multiple Cell Upset Classification in Commercial SRAMs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1747-1754. ⟨10.1109/TNS.2014.2313742⟩
Article dans une revue lirmm-01234446v1

On the Test and Mitigation of Malfunctions in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (5), pp.611-627. ⟨10.1007/s10836-014-5479-z⟩
Article dans une revue lirmm-01238443v1
Image document

Intra-Cell Defects Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (5), pp.541-555. ⟨10.1007/s10836-014-5481-5⟩
Article dans une revue lirmm-01272964v1

Dynamic Compact Model of Self-Referenced Magnetic Tunnel Junction

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
IEEE Transactions on Electron Devices, 2014, 61 (11), pp.3877-3882. ⟨10.1109/TED.2014.2355418⟩
Article dans une revue lirmm-01272978v1
Image document

90 nm SRAM Static and Dynamic Mode Real-Time Testing at Concordia Station in Antarctica

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (6), pp.3389-3394. ⟨10.1109/TNS.2014.2363120⟩
Article dans une revue hal-04056468v1
Image document

Globally Constrained Locally Optimized 3-D Power Delivery Networks

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (10), pp.2131-2144. ⟨10.1109/TVLSI.2013.2283800⟩
Article dans une revue lirmm-01255754v1

A New Hybrid Fault-Tolerant Architecture for Digital CMOS Circuits and Systems

Ahn Duc Tran , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (4), pp.401-413. ⟨10.1007/s10836-014-5459-3⟩
Article dans une revue lirmm-01272958v1

Dynamic Test Methods for COTS SRAMs

Georgios Tsiligiannis , Luigi Dilillo , Viyas Gupta , Alberto Bosio , Patrick Girard
IEEE Transactions on Nuclear Science, 2014, 61 (6), pp.3095-3102. ⟨10.1109/TNS.2014.2363123⟩
Article dans une revue lirmm-01234463v1
Image document

Evaluating a Radiation Monitor for Mixed-Field Environments based on SRAM Technology

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Instrumentation, 2014, 9 (5), pp.#C05052. ⟨10.1088/1748-0221/9/05/C05052⟩
Article dans une revue lirmm-01234448v1

An SRAM Based Monitor for Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IEEE Transactions on Nuclear Science, 2014, 61 (4), pp.1663-1670. ⟨10.1109/TNS.2014.2299733⟩
Article dans une revue lirmm-01234441v1
Image document

Uncorrelated Power Supply Noise and Ground Bounce Consideration for Test Pattern Generation

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (5), pp.958-970. ⟨10.1109/TVLSI.2012.2197427⟩
Article dans une revue lirmm-00806774v1
Image document

A Study of Tapered 3-D TSVs for Power and Thermal Integrity

Aida Todri-Sanial , Sandip Kundu , Patrick Girard , Alberto Bosio , Luigi Dilillo
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2013, 21 (2), pp.306-319. ⟨10.1109/TVLSI.2012.2187081⟩
Article dans une revue lirmm-00806776v1

Fast Power Evaluation for Effective Generation of Test Programs Maximizing Peak Power Consumption

Paolo Bernardi , Mauricio de Carvalho , Ernesto Sanchez , Matteo Sonza Reorda , Alberto Bosio
Journal of Low Power Electronics, 2013, 9 (2), pp.253-263. ⟨10.1166/jolpe.2013.1259⟩
Article dans une revue lirmm-00934937v1

Testing a Commercial MRAM under Neutron and Alpha Radiation in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IEEE Transactions on Nuclear Science, 2013, 60 (4), pp.2617-2622. ⟨10.1109/TNS.2013.2239311⟩
Article dans une revue lirmm-00805005v1

A Layout-Aware Pattern Grading Procedure for Critical Paths Considering Power Supply Noise and Crosstalk

Patrick Girard , Mohammad Tehranipoor , Junxia Ma
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (2), pp.201-214. ⟨10.1007/s10836-011-5268-x⟩
Article dans une revue lirmm-00816589v1

Layout-Aware Pattern Evaluation and Analysis for Power-Safe Application of TDF Patterns

Patrick Girard , Mohammad Tehranipoor , Hassan Salmani , Wei Zhao , Xiaoqing Wen
Journal of Low Power Electronics, 2012, 8 (2), pp.248-258. ⟨10.1166/jolpe.2012.1188⟩
Article dans une revue lirmm-00816606v1

Analysis and Fault Modeling of Actual Resistive Defects in ATMELtm eFlash Memories

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (2), pp.215-228. ⟨10.1007/s10836-012-5277-4⟩
Article dans une revue lirmm-00806773v1

Neutron-Induced Multiple Bit Upsets on Two Commercial SRAMs Under Dynamic-Stress

Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Alessio Griffoni , Jérôme Boch
IEEE Transactions on Nuclear Science, 2012, 59 (4), pp.893-899. ⟨10.1109/TNS.2012.2187218⟩
Article dans une revue lirmm-00805031v1

Impact of Resistive-Bridging Defects in SRAM at Different Technology Nodes

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2012, 28 (3), pp.317-329. ⟨10.1007/s10836-012-5291-6⟩
Article dans une revue lirmm-00805017v1

Impact of Resistive-Open Defects on SRAM Error Rate Induced by Alpha Particles and Neutrons

Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Frédéric Wrobel , Frédéric Saigné
IEEE Transactions on Nuclear Science, 2011, 58 (3), pp.855-861. ⟨10.1109/TNS.2011.2123114⟩
Article dans une revue lirmm-00805046v1

SoC Yield Improvement - Using TMR Architectures for Manufacturing Defect Tolerance in Logic Cores

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
International Journal On Advances in Systems and Measurements, 2010, 3 (1/2), pp.1-10
Article dans une revue lirmm-00553567v1
Image document

High Launch Switching Activity Reduction in At-Speed Scan Testing using CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme

Kohli Miyase , Hideo Furukawa , Patrick Girard , Xiaoqing Wen , Yuta Yamato
IEICE Transactions on Information and Systems, 2010, E93-D (1), pp.2-9. ⟨10.1587/transinf.E93.D.2⟩
Article dans une revue lirmm-00406963v1

A Comprehensive Framework for Logic Diagnosis of Arbitrary Defects

Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
IEEE Transactions on Computers, 2010, 59 (3), pp.289-300. ⟨10.1109/TC.2009.177⟩
Article dans une revue lirmm-00553545v1

A Comprehensive Analysis of Transition Fault Coverage and Test Power Dissipation for LOS and LOC Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Journal of Low Power Electronics, 2010, 6 (2), pp.359-374. ⟨10.1166/jolpe.2010.1086⟩
Article dans une revue lirmm-00553548v1

Is TMR Suitable for Yield Improvement ?

Julien Vial , Arnaud Virazel , Alberto Bosio , Patrick Girard , Christian Landrault
IET Computers & Digital Techniques, 2009, 3 (6), pp.581-592. ⟨10.1049/iet-cdt.2008.0127⟩
Article dans une revue lirmm-00406961v1

Analysis of Resistive-Open Defects in SRAM Sense Amplifiers

Alexandre Ney , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 17 (10), pp.1556-1559. ⟨10.1109/TVLSI.2008.2005194⟩
Article dans une revue lirmm-00371367v1

A SPICE-Like 2T-FLOTOX Core-Cell Model for Defect Injection and Faulty Behavior Prediction in eFlash

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2009, N/A, pp.127-144. ⟨10.1007/s10836-008-5096-9⟩
Article dans une revue lirmm-00371370v1

New March Elements for Address Decoder Open and Resistive Open Fault Detection in SRAM Memories

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
Journal of Integrated Circuits and Systems, 2008, 3 (1), pp.7-12. ⟨10.29292/jics.v3i1.276⟩
Article dans une revue lirmm-00341793v1

A Selective Scan Slice Encoding Technique for Test Data Volume and Test Power Reduction

Nabil Badereddine , Zhanglei Wang , Patrick Girard , Krishnendu Chakrabarty , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2008, 24 (4), pp.353-364. ⟨10.1007/s10836-007-5053-z⟩
Article dans une revue lirmm-00331296v1
Image document

Analysis and Test of Resistive-Open Defects in SRAM Pre-Charge Circuits

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
Journal of Electronic Testing: : Theory and Applications, 2007, 23 (3), pp.435-444. ⟨10.1007/s10836-007-5003-9⟩
Article dans une revue lirmm-00194254v1

Reducing Power Dissipation in SRAM During Test

Luigi Dilillo , Paul Rosinger , Bashir Al-Hashimi , Patrick Girard
Journal of Low Power Electronics, 2006, 2 (2), pp.271-280. ⟨10.1166/jolpe.2006.062⟩
Article dans une revue lirmm-00137590v1

An Efficient BIST Architecture for Delay Faults in the Logic Cells of Symmetrical SRAM-Based FPGAs

Patrick Girard , Serge Pravossoudovitch , Olivier Héron , Michel Renovell
Journal of Electronic Testing: : Theory and Applications, 2006, 22 (2), pp.161-172. ⟨10.1007/s10836-005-4631-1⟩
Article dans une revue lirmm-00135456v1

ADOFs and Resistive-ADOFs in SRAM Address Decoders: Test Conditions and March Solutions

Patrick Girard , Luigi Dilillo , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
Journal of Electronic Testing: : Theory and Applications, 2006, 22 (3), pp.287-296. ⟨10.1007/s10836-006-7761-1⟩
Article dans une revue lirmm-00134769v1

A Gated Clock Scheme for Low Power Testing of Logic Cores

Christian Landrault , Yannick Bonhomme , Arnaud Virazel , Patrick Girard , Loïs Guiller
Journal of Electronic Testing: : Theory and Applications, 2006, 22 (1), pp.89-99. ⟨10.1007/s10836-006-6259-1⟩
Article dans une revue lirmm-00134766v1

Delay Fault Testing of Look-Up Tables in SRAM-Based FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
Journal of Electronic Testing: : Theory and Applications, 2005, 21 (1), pp.43-55. ⟨10.1007/s10836-005-5286-7⟩
Article dans une revue lirmm-00105329v1

Low Power Scan Chain Design: A Solution for an Efficient Tradeoff Between Test Power and Scan Routing

Patrick Girard , Yannick Bonhomme
Journal of Low Power Electronics, 2005, 1 (1), pp.85-95. ⟨10.1166/jolpe.2005.004⟩
Article dans une revue lirmm-00105357v1
Image document

Efficient March Test Procedure for Dynamic Read Destructive Fault Detection in SRAM Memories

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
Journal of Electronic Testing: : Theory and Applications, 2005, 21 (5), pp.551-561. ⟨10.1007/s10836-005-1169-1⟩
Article dans une revue lirmm-00105314v1
Image document

Analysis of Dynamic Faults in Embedded-SRAMs: Implications for Memory Test

Simone Borri , Magali Bastian Hage-Hassan , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2005, 21 (2), pp.169-179. ⟨10.1007/s10836-005-6146-1⟩
Article dans une revue lirmm-00105313v1

Power-Driven Routing-Constrained Scan Chain Design

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2004, 20 (6), pp.647-660. ⟨10.1007/s10677-004-4252-2⟩
Article dans une revue lirmm-00108581v1

A Ring Architecture Strategy for BIST Test Pattern Generation

Christophe Fagot , Olivier Gascuel , Patrick Girard , Christian Landrault
Journal of Electronic Testing: : Theory and Applications, 2003, 19 (3), pp.223-231. ⟨10.1023/A:1023788727542⟩
Article dans une revue lirmm-02273114v1

High Defect Coverage with Low Power Test Sequences in a BIST Environment

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel , Hans-Joachim Wunderlich
IEEE Design & Test, 2002, 19 (5), pp.44-52. ⟨10.1109/MDT.2002.1033791⟩
Article dans une revue lirmm-00268585v1

Hardware Generation of Random Single Input Change Test Sequence

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2002, 18 (2), pp.145-157. ⟨10.1023/A:1014941525735⟩
Article dans une revue lirmm-00268540v1

Survey of Low-Power Testing of VLSI Circuits

Patrick Girard
IEEE Design & Test, 2002, 19 (3), pp.82-92. ⟨10.1109/MDT.2002.1003802⟩
Article dans une revue lirmm-00268584v1
Image document

Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2001, 17 (3/4), pp.233-241. ⟨10.1023/A:1012259227622⟩
Article dans une revue lirmm-00345796v1

A Scan-BIST Structure to Test Delay Faults in Sequential Circuits

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 1999, 14 (1/2), pp.95-102. ⟨10.1023/A:1008305507376⟩
Article dans une revue lirmm-00345794v1
Image document

A New Scan-BIST Structures to Test delay Faults in Sequential Circuits

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 1999, 14, pp.95-102. ⟨10.1023/A:1008305507376⟩
Article dans une revue lirmm-00345797v1
Image document

Predictor BIST: An "All-in-One" Optical Test Solution for CMOS Image Sensors

Julia Lefèvre , Philippe Debaud , Patrick Girard , Arnaud Virazel
ITC 2023 - IEEE International Test Conference, Oct 2023, Anaheim, United States
Communication dans un congrès lirmm-04240449v1

On Using Cell-Aware Methodology for SRAM Bit Cell Testing

Xhesila Xhafa , Aymen Ladhar , Eric Faehn , Lorena Anghel , Gregory Di Pendina
ETS 2023 - 28th IEEE European Test Symposium, May 2023, Venezia, Italy. pp.1-4, ⟨10.1109/ETS56758.2023.10174118⟩
Communication dans un congrès hal-04164704v1
Image document

A Low Area and Low Delay Latch Design with Complete Double-Node-Upset-Recovery for Aerospace Applications

Aibin Yan , Shaojie Wei , Jinjun Zhang , Tianming Ni , Jie Song
GLSVLSI 2023 - Proceedings of the Great Lakes Symposium on VLSI, Jun 2023, Knoxville, TN, United States. pp.167-171, ⟨10.1145/3583781.3590281⟩
Communication dans un congrès lirmm-04241408v1
Image document

A Low Overhead and Double-Node-Upset Self-Recoverable Latch

Aibin Yan , Fan Xia , Tianming Ni , Jie Cui , Zhengfeng Huang
ITC-Asia 2023 - IEEE International Test Conference in Asian, Sep 2023, Matsue, Japan
Communication dans un congrès lirmm-04241214v1
Image document

MCTHSL: 4×4-Device-Matrix-Based Cost-Optimized TNU-Recovery HIS-Insensitive and SET-Filterable Latch for Aerospace Applications

Aibin Yan , Zhuoyuan Lin , Jie Cui , Zhengfeng Huang , Tianming Ni
DAC 2023 - ACM/EDAA Design Automation Conference, Jul 2023, San Francisco, United States
Communication dans un congrès lirmm-04240386v1
Image document

Design of A Highly Reliable and Low-Power SRAM With Double-Node Upset Recovery for Safety-critical Applications

Aibin Yan , Jing Xiang , Zhengfeng Huang , Tianming Ni , Jie Cui
ITC-Asia 2023 - IEEE International Test Conference in Asian, Sep 2023, Matsue, Japan
Communication dans un congrès lirmm-04241173v1
Image document

High Performance and DNU-Recovery Spintronic Retention Latch for Hybrid MTJ/CMOS Technology

Aibin Yan , Zhen Zhou , Liang Ding , Jie Cui , Zhengfeng Huang
DATE 2023 - Design, Automation & Test in Europe Conference & Exhibition, Apr 2023, Antwerp, Belgium. ⟨10.23919/DATE56975.2023.10136927⟩
Communication dans un congrès lirmm-04240330v1
Image document

Design of a Novel Latch with Quadruple-Node-Upset Recovery for Harsh Radiation Hardness

Aibin Yan , Yu Chen , Shaojie Wei , Jie Cui , Zhengfeng Huang
ITC-Asia 2023 - IEEE International Test Conference in Asian, Sep 2023, Matsue, Japan
Communication dans un congrès lirmm-04240494v1
Image document

Intra-cell Resistive-Open Defect Analysis on a Foundry 8T SRAM-based IMC Architecture

Lila Ammoura , Marie-Lise Flottes , Patrick Girard , Jean-Philippe Noël , Arnaud Virazel
ETS 2023 - 28th IEEE European Test Symposium, May 2023, Venise, Italy. ⟨10.1109/ETS56758.2023.10174107⟩
Communication dans un congrès hal-04164663v1

Learning-Based Characterization Models for Quality Assurance of Emerging Memory Technologies

Xhesila Xhafa , Patrick Girard , Arnaud Virazel
ETS 2023 - 28th IEEE European Test Symposium, May 2023, Venezia, Italy. pp.1-2, ⟨10.1109/ETS56758.2023.10174202⟩
Communication dans un congrès hal-04164855v1
Image document

Design of Low-Cost Approximate CMOS Full Adders

Aibin Yan , Shaojie Wei , Zhixing Li , Jie Cui , Zhengfeng Huang
ISCAS 2023 - IEEE International Symposium on Circuits and Systems, May 2023, Monterey, CA, United States. ⟨10.1109/ISCAS46773.2023.10181531⟩
Communication dans un congrès lirmm-04241285v1
Image document

On Using Cell-Aware Models for Representing SRAM Architecture

Xhesila Xhafa , Aymen Ladhar , Eric Faehn , Lorena Anghel , Gregory Di Pendina
16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France
Communication dans un congrès lirmm-03987914v1
Image document

A Highly Reliable and Low Power RHBD Flip-Flop Cell for Aerospace Applications

Aibin Yan , Kuikui Qian , Jie Cui , Ningning Cui , Zhengfeng Huang
VTS 2022 - IEEE 40th VLSI Test Symposium, Apr 2022, San Diego, CA, United States. pp.1-6, ⟨10.1109/VTS52500.2021.9794197⟩
Communication dans un congrès lirmm-03770473v1
Image document

Effective techniques for automatically improving the transition delay fault coverage of Self-Test Libraries

Riccardo Cantoro , Francesco Garau , Patrick Girard , Nima Kolahimahmoudi , Sandro Sartoni
ETS 2022 - 27th IEEE European Test Symposium, May 2022, Barcelona, Spain. pp.1-2, ⟨10.1109/ETS54262.2022.9810392⟩
Communication dans un congrès lirmm-03739788v1
Image document

SCLCRL: Shuttling C-elements based Low-Cost and Robust Latch Design Protected against Triple Node Upsets in Harsh Radiation Environments

Aibin Yan , Zhixing Li , Shiwei Huang , Zijie Zhai , Xiangyu Cheng
DATE 2022 - 25th Design, Automation and Test in Europe Conference and Exhibition, Mar 2022, Virtual, France. pp.1257-1262, ⟨10.23919/DATE54114.2022.9774665⟩
Communication dans un congrès lirmm-03769070v1
Image document

A Comprehensive Learning-Based Flow for Cell-Aware Model Generation

Pierre D’hondt , Aymen Ladhar , Patrick Girard , Arnaud Virazel
ITC 2022 - IEEE International Test Conference, Sep 2022, Anaheim, United States. pp.484-488, ⟨10.1109/ITC50671.2022.00057⟩
Communication dans un congrès lirmm-03770147v1
Image document

Cost-Optimized and Robust Latch Hardened against Quadruple Node Upsets for Nanoscale CMOS

Aibin Yan , Shukai Song , Jixiang Zhang , Jie Cui , Zhengfeng Huang
ITC-Asia 2022 - IEEE International Test Conference in Asian, Aug 2022, Taipei, Taiwan. pp.73-78, ⟨10.1109/ITCAsia55616.2022.00023⟩
Communication dans un congrès lirmm-03770182v1
Image document

A Generic Fast and Low Cost BIST Solution for CMOS Image Sensors

Julia Lefèvre , Philippe Debaud , Patrick Girard , Arnaud Virazel
ETS 2022 - 27th IEEE European Test Symposium, May 2022, Barcelona, Spain. pp.1-2, ⟨10.1109/ETS54262.2022.9810458⟩
Communication dans un congrès lirmm-03770756v1
Image document

A Highly Robust, Low Delay and DNU-Recovery Latch Design for Nanoscale CMOS Technology

Aibin Yan , Zhen Zhou , Shaojie Wei , Jie Cui , Yong Zhou
GLVLSI 2022 - 32nd ACM Great Lakes Symposium on VLSI, Jun 2022, Irvine, CA, United States. pp.255-260, ⟨10.1145/3526241.3530321⟩
Communication dans un congrès lirmm-03770815v1
Image document

Sextuple Cross-Coupled-DICE Based Double-Node-Upset Recoverable and Low-Delay Flip-Flop for Aerospace Applications

Aibin Yan , Yu Chen , Shukai Song , Zijie Zhai , Jie Cui
GLVLSI 2022 - 32nd ACM Great Lakes Symposium on VLSI, Jun 2022, Irvine, CA, United States. pp.333-338, ⟨10.1145/3526241.3530355⟩
Communication dans un congrès lirmm-03770880v1
Image document

Analysis of Read Port Short Defects in an 8T SRAM-based IMC Architecture

Lila Ammoura , Marie-Lise Flottes , Patrick Girard , Jean-Philippe Noel , Arnaud Virazel
16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France
Communication dans un congrès lirmm-03990078v1
Image document

A Radiation-Hardened Non-Volatile Magnetic Latch with High Reliability and Persistent Storage

Aibin Yan , Liang Ding , Zhen Zhou , Zhengfeng Huang , Jie Cui
ATS 2022 - 31st IEEE Asian Test Symposium, Nov 2022, Taichung, Taiwan. ⟨10.1109/ATS56056.2022.00013⟩
Communication dans un congrès lirmm-03770951v1
Image document

Two 0.8 V, Highly Reliable RHBD 10T and 12T SRAM Cells for Aerospace Applications

Aibin Yan , Zhihui He , Jing Xiang , Jie Cui , Yong Zhou
GLVLSI 2022 - 32nd ACM Great Lakes Symposium on VLSI, Jun 2022, Irvine, CA, United States. pp.261-266, ⟨10.1145/3526241.3530312⟩
Communication dans un congrès lirmm-03770855v1

Configuring a Universal BIST Solution for CMOS Image Sensors

Julia Lefèvre , Philippe Debaud , Patrick Girard , Arnaud Virazel
16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France
Communication dans un congrès lirmm-03988569v1
Image document

Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement

Riccardo Cantoro , Patrick Girard , Riccardo Masante , Sandro Sartoni , Matteo Sonza Reorda
IOLTS 2021 - 27th IEEE International Symposium on On-Line Testing and Robust System Design, Jun 2021, Torino, Italy. pp.1-4, ⟨10.1109/IOLTS52814.2021.9486711⟩
Communication dans un congrès lirmm-03380201v1
Image document

Self-Test Libraries Analysis for Pipelined Processors Transition Fault Coverage Improvement

Riccardo Cantoro , Patrick Girard , Riccardo Masante , Sandro Sartoni , Matteo Sonza Reorda
PESW 2021 - 9th Prague Embedded Systems Workshop, Jul 2021, Horoměřice, Czech Republic
Communication dans un congrès lirmm-03988459v1
Image document

Preliminary Defect Analysis of 8T SRAM Cells Used for In-Memory Computing

Lila Ammoura , Marie-Lise Flottes , Patrick Girard , Arnaud Virazel
15e Colloque National du GDR SoC², Jun 2021, Rennes, France
Communication dans un congrès lirmm-03994467v1
Image document

Reducing Overprovision of Triple Modular Reduncancy Owing to Approximate Computing

Bastien Deveautour , Marcello Traiola , Arnaud Virazel , Patrick Girard
IOLTS 2021 - 27th IEEE International Symposium on On-Line Testing and Robust System Design, Jun 2021, Torino, Italy. pp.1-7, ⟨10.1109/IOLTS52814.2021.9486699⟩
Communication dans un congrès lirmm-03380025v1
Image document

A 4NU-Recoverable and HIS-Insensitive Latch Design for Highly Robust Computing in Harsh Radiation Environments

Aibin Yan , Aoran Cao , Zhengzheng Fan , Zhelong Xu , Tianming Ni
GLVLSI 2021 - 31st ACM Great Lakes Symposium on VLSI, Jun 2021, Virtual, United States. pp.301-306, ⟨10.1145/3453688.3461493⟩
Communication dans un congrès lirmm-03380011v1
Image document

Design of Fault-Tolerant and Thermally Stable XOR Gate in Quantum dot Cellular Automata

Syed Farah Naz , Ambika Prasad Shah , Suhaib Ahmed , Patrick Girard , Michael Waltl
ETS 2021 - 26th IEEE European Test Symposium, May 2021, Bruges, Belgium. pp.1-2, ⟨10.1109/ETS50041.2021.9465459⟩
Communication dans un congrès lirmm-03377787v1
Image document

Preliminary Defect Analysis of 8T SRAM Cells for In-Memory Computing Architectures

Lila Ammoura , Marie-Lise Flottes , Patrick Girard , Arnaud Virazel
DTIS 2021 - 16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Jun 2021, Montpellier, France. ⟨10.1109/DTIS53253.2021.9505101⟩
Communication dans un congrès lirmm-03377433v1
Image document

Emerging Computing Devices: Challenges and Opportunities for Test and Reliability

Alberto Bosio , Ian O'Connor , Marcello Traiola , Jorge Echavarria , Jürgen Teich
ETS 2021 - 26th IEEE European Test Symposium, May 2021, Bruges, Belgium. pp.1-10, ⟨10.1109/ETS50041.2021.9465409⟩
Communication dans un congrès lirmm-03379074v1
Image document

A Novel BIST Engine for CMOS Image Sensors

Julia Lefèvre , Philippe Debaud , Patrick Girard , Arnaud Virazel
15e Colloque National du GDR SoC², Jun 2021, Rennes, France
Communication dans un congrès lirmm-03987828v1
Image document

Cell-Aware Diagnosis of Customer Returns Using Bayesian Inference

Safa Mhamdi , Patrick Girard , Arnaud Virazel , Alberto Bosio , Aymen Ladhar
ISQED 2021 - 22nd International Symposium on Quality Electronic Design, Apr 2021, Santa Clara (virtual), United States. pp.48-53, ⟨10.1109/ISQED51717.2021.9424337⟩
Communication dans un congrès hal-03266815v1
Image document

Voltage Bootstrapped Schmitt Trigger based Radiation Hardened Latch design for Reliable Circuits

Neha Gupta , Nikhil Agrawal , Narendra Singh Dhakad , Ambika Prasad Shah , Santosh Kumar Vishvakarma
GLVLSI 2021 - 31st ACM Great Lakes Symposium on VLSI, Jun 2021, Virtual, United States. pp.307-312, ⟨10.1145/3453688.3461489⟩
Communication dans un congrès lirmm-03379944v1
Image document

A Fast and Low Cost Embedded Test Solution for CMOS Image Sensors

Julia Lefèvre , Philippe Debaud , Patrick Girard , Arnaud Virazel
ITC 2021 - IEEE International Test Conference, Oct 2021, Online, United States. pp.1-9, ⟨10.1109/ITC50571.2021.00007⟩
Communication dans un congrès lirmm-03377562v1
Image document

Accelerating Cell-Aware Model Generation Through Machine Learning

Pierre D’hondt , Aymen Ladhar , Patrick Girard , Arnaud Virazel
15e Colloque National du GDR SoC², Jun 2021, Rennes, France
Communication dans un congrès lirmm-03987805v1
Image document

A Learning-Based Methodology for Accelerating Cell-Aware Model Generation

Pierre D’hondt , Aymen Ladhar , Patrick Girard , Arnaud Virazel
DATE 2021 - 24th Design, Automation and Test in Europe Conference and Exhibition, Feb 2021, Grenoble, France. pp.1580-1585, ⟨10.23919/DATE51398.2021.9474227⟩
Communication dans un congrès lirmm-03377383v1
Image document

An ECC-Based Repair Approach with an Offset-Repair CAM for Mitigating the MBUs Affecting Repair CAM

Panagiota Papavramidou , Michael Nicolaidis , Patrick Girard
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-6, ⟨10.1109/IOLTS50870.2020.9159731⟩
Communication dans un congrès lirmm-03035798v1
Image document

Learning-Based Cell-Aware Defect Diagnosis of Customer Returns

Safa Mhamdi , Patrick Girard , Arnaud Virazel , Alberto Bosio , Aymen Ladhar
ETS 2020 - 25th IEEE European Test Symposium, May 2020, Tallinn, Estonia. pp.1-2, ⟨10.1109/ETS48528.2020.9131601⟩
Communication dans un congrès lirmm-03035669v1
Image document

Development and Application of Embedded Test Instruments to Digital, Analog/RFs and Secure ICs

Florence Azaïs , Serge Bernard , Mariane Comte , Bastien Deveautour , Sophie Dupuis
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-4, ⟨10.1109/IOLTS50870.2020.9159723⟩
Communication dans un congrès lirmm-02993384v1
Image document

Dual-Interlocked-Storage-Cell-Based Double-Node-Upset Self-Recoverable Flip-Flop Design for Safety-Critical Applications

Aibin Yan , Zhelong Xu , Jie Cui , Zuobin Ying , Zhengfeng Huang
ISCAS 2020 - IEEE International Symposium on Circuits and Systems, Oct 2020, Sevilla (virtual), Spain. pp.1-5, ⟨10.1109/ISCAS45731.2020.9181135⟩
Communication dans un congrès lirmm-03035619v1
Image document

A CMOS OxRAM-Based Neuron Circuit Hardened with Enclosed Layout Transistors for Aerospace Applications

Pablo Ilha Vaz , Patrick Girard , Arnaud Virazel , Hassen Aziza
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-6, ⟨10.1109/IOLTS50870.2020.9159709⟩
Communication dans un congrès lirmm-03035780v1
Image document

DOVA: A Dynamic Overwriting Voltage Adjustment for STT-RAM L1 Cache

Jinbo Chen , Keren Liu , Xiaochen Guo , Patrick Girard , Yuanqing Cheng
ISQED 2020 - 21st International Symposium on Quality Electronic Design, Mar 2020, Santa Clara, CA, United States. pp.408-414, ⟨10.1109/ISQED48828.2020.9137020⟩
Communication dans un congrès lirmm-03035589v1
Image document

Maximizing Yield for Approximate Integrated Circuits

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
DATE 2020 - 23rd Design, Automation and Test in Europe Conference and Exhibition, Mar 2020, Grenoble, France. pp.810-815, ⟨10.23919/DATE48585.2020.9116341⟩
Communication dans un congrès lirmm-03036002v1
Image document

Impact of Aging on Soft Error Susceptibility in CMOS Circuits

Ambika Prasad Shah , Patrick Girard
IOLTS 2020 - 26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Napoli, Italy. pp.1-4, ⟨10.1109/IOLTS50870.2020.9159733⟩
Communication dans un congrès lirmm-03033194v1
Image document

QAMR: an Approximation-Based FullyReliable TMR Alternative for Area Overhead Reduction

Bastien Deveautour , Marcello Traiola , Arnaud Virazel , Patrick Girard
ETS 2020 - 25th IEEE European Test Symposium, May 2020, Tallinn, Estonia. pp.1-6, ⟨10.1109/ETS48528.2020.9131574⟩
Communication dans un congrès lirmm-03035640v1
Image document

A Novel Test Flow for Approximate Digital Circuits

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
DATE 2020 - EDAA/IEEE/ACM Design Automation & Test in Europe Conference, PhD Forum, Mar 2020, Grenoble, France
Communication dans un congrès lirmm-03993654v1
Image document

A Learning-Based Cell-Aware Diagnosis Flow for Industrial Customer Returns

Safa Mhamdi , Patrick Girard , Arnaud Virazel , Alberto Bosio , Aymen Ladhar
ITC 2020 - IEEE International Test Conference, Nov 2020, Washington DC, United States. pp.1-10, ⟨10.1109/ITC44778.2020.9325246⟩
Communication dans un congrès lirmm-03034264v1
Image document

Design of a Highly Reliable SRAM Cell with Advanced Self-Recoverability from Soft Errors

Zhengda Dou , Aibin Yan , Jun Zhou , Yuanjie Hu , Yan Chen
ITC-Asia 2020 - 4th International Test Conference in Asia, Sep 2020, Taipei, Taiwan. pp.35-40, ⟨10.1109/ITC-Asia51099.2020.00018⟩
Communication dans un congrès lirmm-03033821v1
Image document

A Sextuple Cross-Coupled SRAM Cell Protected against Double-Node Upsets

Aibin Yan , Yan Chen , Jun Zhou , Tianming Ni , Xiaoqing Wen
ATS 2020 - 28th IEEE Asian Test Symposium, Nov 2020, Penang, Malaysia. pp.1-5, ⟨10.1109/ATS49688.2020.9301569⟩
Communication dans un congrès lirmm-03035825v1
Image document

HITTSFL: Design of a Cost-Effective HIS-Insensitive TNU-Tolerant and SET-Filterable Latch for Safety-Critical Applications

Aibin Yan , Xiangfeng Feng , Xiaohui Zhao , Hang Zhou , Jie Cui
DAC 2020 - 57th ACM/IEEE Design Automation Conference, Jul 2020, San Francisco, CA, United States. pp.1-6, ⟨10.1109/DAC18072.2020.9218704⟩
Communication dans un congrès lirmm-03033258v1
Image document

Design, Verification, Test and In-Field Implications of Approximate Computing Systems

Alberto Bosio , Stefano Di Carlo , Patrick Girard , Ernesto Sanchez , Alessandro Savino
ETS 2020 - 25th IEEE European Test Symposium, May 2020, Tallinn, Estonia. pp.1-10, ⟨10.1109/ETS48528.2020.9131557⟩
Communication dans un congrès lirmm-03035724v1
Image document

Design of a Sextuple Cross-Coupled SRAM Cell with Optimized Access Operations for Highly Reliable Terrestrial Applications

Aibin Yan , Zhen Wu , Jun Zhou , Yuanjie Hu , Yan Chen
ATS 2019 - 28th IEEE Asian Test Symposium, Dec 2019, Kolkata, India. pp.55-60, ⟨10.1109/ATS47505.2019.00006⟩
Communication dans un congrès lirmm-03033332v1

Cell-Aware Diagnosis of Automotive Customer Returns Based on Supervised Learning

Safa Mhamdi , Patrick Girard , Arnaud Virazel , Alberto Bosio , Aymen Ladhar
ART 2019 - 4th IEEE Automotive Reliability and Test Workshop, Nov 2019, Washington, United States
Communication dans un congrès lirmm-02395653v1

A Capacitor-Less CMOS Neuron Circuit for Neuromemristive Networks

Hassen Aziza , Mathieu Moreau , Jean-Michel Portal , Arnaud Virazel , Patrick Girard
NEWCAS 2019 - 17th IEEE International Conference on Electronics Circuits and Systems, Jun 2019, Munich, Germany. ⟨10.1109/NEWCAS44328.2019.8961278⟩
Communication dans un congrès lirmm-02395325v1
Image document

Towards Improvement of Mission Mode Failure Diagnosis for System-on-Chip

Safa Mhamdi , Arnaud Virazel , Patrick Girard , Alberto Bosio , Etienne Auvray
IOLTS 2019 - 25th International Symposium on On-Line Testing And Robust System Design, Jul 2019, Rhodes, Greece. pp.21-26, ⟨10.1109/IOLTS.2019.8854388⟩
Communication dans un congrès lirmm-02395493v1
Image document

Novel Radiation Hardened Latch Design with Cost-Effectiveness for Safety-Critical Terrestrial Applications

Aibin Yan , Zhen Wu , Lu Lu , Zhili Chen , Jie Song
ATS 2019 - 28th IEEE Asian Test Symposium, Dec 2019, Kolkata, India. pp.43-435, ⟨10.1109/ATS47505.2019.000-2⟩
Communication dans un congrès lirmm-03035558v1
Image document

Testing Approximate Digital Circuits: Challenges and Opportunities

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
LATS 2018 - 19th IEEE Latin American Test Symposium, Mar 2018, Sao Paulo, Brazil. pp.1-6, ⟨10.1109/LATW.2018.8349681⟩
Communication dans un congrès lirmm-03033024v1
Image document

Is aproximate computing suitable for selective hardening of arithmetic circuits?

Bastien Deveautour , Arnaud Virazel , Patrick Girard , Serge Pravossoudovitch , Valentin Gherman
DTIS 2018 - 13th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Apr 2018, Taormina, Italy. pp.1-6, ⟨10.1109/DTIS.2018.8368559⟩
Communication dans un congrès lirmm-03130537v1
Image document

Investigation of Mean-Error Metrics for Testing Approximate Integrated Circuits

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbarcschi , Alberto Bosio
DFT 2018 - 31st IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2018, Chicago, United States. pp.1-6, ⟨10.1109/DFT.2018.8602939⟩
Communication dans un congrès lirmm-02099895v1

An Effective Intra-Cell Diagnosis Flow for Industrial SRAMs

Tien-Phu Ho , Eric Faehn , Arnaud Virazel , Alberto Bosio , Patrick Girard
ITC: International Test Conference, Oct 2018, Phoenix, United States. pp.1-8, ⟨10.1109/TEST.2018.8624799⟩
Communication dans un congrès lirmm-02099874v1
Image document

On the Comparison of Different ATPG approaches for Approximate Integrated Circuits

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
DDECS 2018 - 1st International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2018, Budapest, Hungary. pp.85-90, ⟨10.1109/DDECS.2018.00022⟩
Communication dans un congrès lirmm-03032856v1
Image document

Can we Approximate the Test of Integrated Circuits?

Imran Wali , Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi
WAPCO: Workshop On Approximate Computing, Jan 2017, Stockholm, Sweden
Communication dans un congrès lirmm-02004418v1

Approximate computing: Design & test for integrated circuits

Arnaud Virazel , Alberto Bosio , Patrick Girard , Mario Barbareschi
LATS 2017 - 18th IEEE Latin American Test Symposium, Mar 2017, Bogota, Colombia. ⟨10.1109/LATW.2017.7906737⟩
Communication dans un congrès lirmm-01718600v1
Image document

Towards approximation during test of Integrated Circuits

Imran Wali , Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi
DDECS 2017 - 20th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2017, Dresden, Germany. pp.28-33, ⟨10.1109/DDECS.2017.7934574⟩
Communication dans un congrès lirmm-01718580v1

Towards digital circuit approximation by exploiting fault simulation

Marcello Traiola , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
EWDTS: East-West Design & Test Symposium, Sep 2017, Novi Sad, Serbia. ⟨10.1109/EWDTS.2017.8110108⟩
Communication dans un congrès lirmm-01718583v1

An effective fault-injection framework for memory reliability enhancement perspectives

Ghita Harcha , Alberto Bosio , Patrick Girard , Arnaud Virazel , Paolo Bernardi
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2017, Palma de Mallorca, Spain. ⟨10.1109/DTIS.2017.7930172⟩
Communication dans un congrès lirmm-01718579v1

An effective BIST architecture for power-gating mechanisms in low-power SRAMs

Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel , Leonardo B. Zordan
ISQED 2016 - 17th International Symposium on Quality Electronic Design, Mar 2016, Santa Clara, CA, United States. pp.185-191, ⟨10.1109/ISQED.2016.7479198⟩
Communication dans un congrès lirmm-01457424v1

Improving the Functional Test Delay Fault Coverage: A Microprocessor Case Study

Aymen Touati , Alberto Bosio , Patrick Girard , Arnaud Virazel , Paolo Bernardi
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2016, Pittsburgh, PA, United States. pp.731-736, ⟨10.1109/ISVLSI.2016.42⟩
Communication dans un congrès lirmm-01446917v1

An effective approach for functional test programs compaction

Aymen Touati , Alberto Bosio , Patrick Girard , Arnaud Virazel , Paolo Bernardi
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2016, Kosice, Slovakia. ⟨10.1109/DDECS.2016.7482466⟩
Communication dans un congrès lirmm-01457396v1

A Hybrid Power Estimation Technique to improve IP power models quality

Alejandro Nocua , Arnaud Virazel , Alberto Bosio , Patrick Girard , Cyril Chevalier
VLSI-SoC: Very Large Scale Integration and System-on-Chip, Sep 2016, Tallin, Estonia. ⟨10.1109/VLSI-SoC.2016.7753582⟩
Communication dans un congrès lirmm-01689544v1

Test of Low Power Circuits: Issues and Industrial Practices

Alberto Bosio , Patrick Girard , Arnaud Virazel
ICECS: International Conference on Electronics, Circuits and Systems, Dec 2016, Monte Carlo, Monaco
Communication dans un congrès lirmm-01433330v1

A Case Study on the Approximate Test of Integrated Circuits

Imran Wali , Arnaud Virazel , Patrick Girard , Mario Barbareschi , Alberto Bosio
AC: Approximate Computing, Oct 2016, Pittsburgh, PA, United States
Communication dans un congrès lirmm-01718609v1

A Low-Cost Reliability vs. Cost Trade-Off Methodology to Selectively Harden Logic Circuits

Imran Wali , Bastien Deveautour , Arnaud Virazel , Alberto Bosio , Patrick Girard
ETS: European Test Symposium, May 2016, Amsterdam, Netherlands. ⟨10.1109/ETS.2016.7519296⟩
Communication dans un congrès hal-01444734v1

Auto-adaptive ultra-low power IC

Alberto Bosio , Philippe Debaud , Patrick Girard , Stéphane Guilhot , Miroslav Valka
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2016, Istanbaul, Turkey. ⟨10.1109/DTIS.2016.7483886⟩
Communication dans un congrès lirmm-01457361v1

A hybrid power modeling approach to enhance high-level power models

Alejandro Nocua , Arnaud Virazel , Alberto Bosio , Patrick Girard , Cyril Chevalier
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2016, Kosice, Slovakia. ⟨10.1109/DDECS.2016.7482453⟩
Communication dans un congrès lirmm-01446854v1

Scan-chain intra-cell defects grading

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2015, Naples, Italy. ⟨10.1109/DTIS.2015.7127349⟩
Communication dans un congrès lirmm-01272696v1
Image document

An efficient hybrid power modeling approach for accurate gate-level power estimation

Alejandro Nocua , Arnaud Virazel , Alberto Bosio , Patrick Girard , Cyril Chevalier
ICM: International Conference on Microelectronics, Dec 2015, Casablanca, Morocco. pp.17-20, ⟨10.1109/ICM.2015.7437976⟩
Communication dans un congrès lirmm-01354745v1

Design space exploration and optimization of a Hybrid Fault-Tolerant Architecture

Imran Wali , Arnaud Virazel , Alberto Bosio , Patrick Girard , Matteo Sonza Reorda
IOLTS: International On-Line Testing Symposium, Jul 2015, Halkidiki, Greece. pp.89-94, ⟨10.1109/IOLTS.2015.7229838⟩
Communication dans un congrès lirmm-01272735v1
Image document

Design and performance parameters of an ultra-low voltage, single supply 32bit processor implemented in 28nm FDSOI technology

Sylvain Clerc , Fady Abouzeid , Darayus Adil Patel , Jean-Marc Daveau , Cyril Bottoni
ISQED 2015 - 16th International Symposium on Quality Electronic Design, Apr 2015, Santa Clara, United States. pp.366-370, ⟨10.1109/ISQED.2015.7085453⟩
Communication dans un congrès lirmm-01272913v1

Design-for-Diagnosis Architecture for Power Switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2015, Belgrade, Serbia. pp.43-48, ⟨10.1109/DDECS.2015.18⟩
Communication dans un congrès lirmm-01272684v1

An effective hybrid fault-tolerant architecture for pipelined cores

Imran Wali , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138733⟩
Communication dans un congrès lirmm-01272730v1

An effective ATPG flow for Gate Delay Faults

Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel , Paolo Bernardi
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2015, Naples, Italy. ⟨10.1109/DTIS.2015.7127350⟩
Communication dans un congrès lirmm-01272719v1
Image document

An Experimental Comparative Study of Fault-Tolerant Architectures

Imran Wali , Arnaud Virazel , Alberto Bosio , Patrick Girard
VALID: Advances in System Testing and Validation Lifecycle, Nov 2015, Barcelone, Spain. pp.1-6
Communication dans un congrès lirmm-01354754v1
Image document

Exploring the impact of functional test programs re-used for power-aware testing

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.1277-1280, ⟨10.7873/DATE.2015.1031⟩
Communication dans un congrès lirmm-01272937v1

An ATPG Flow to Generate Crosstalk-Aware Path Delay Pattern

Anu Asokan , Alberto Bosio , Arnaud Virazel , Luigi Dilillo , Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.515-520, ⟨10.1109/ISVLSI.2015.99⟩
Communication dans un congrès lirmm-01272933v1

On the Generation of Diagnostic Test Set for Intra-cell Defects

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2014, Hangzhou, China. pp.312-317, ⟨10.1109/ATS.2014.57⟩
Communication dans un congrès lirmm-01272539v1

Protecting combinational logic in pipelined microprocessor cores against transient and permanent faults

Imran Wali , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.223-225, ⟨10.1109/DDECS.2014.6868794⟩
Communication dans un congrès lirmm-01248598v1

A Comprehensive Evaluation of Functional Programs for Power-Aware Test

Aymen Touati , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
NATW: North Atlantic Test Workshop, May 2014, Johnson City, NY, United States. pp.69-72, ⟨10.1109/NATW.2014.23⟩
Communication dans un congrès lirmm-01248597v1
Image document

TSV aware timing analysis and diagnosis in paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818772⟩
Communication dans un congrès lirmm-01248594v1
Image document

An intra-cell defect grading tool

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Stefano Bernabovi
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.298-301, ⟨10.1109/DDECS.2014.6868814⟩
Communication dans un congrès lirmm-01248591v1

Timing-aware ATPG for critical paths with multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.116-121, ⟨10.1109/DDECS.2014.6868774⟩
Communication dans un congrès lirmm-01248600v1

Power supply noise-aware workload assignments for homogeneous 3D MPSoCs with thermal consideration

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2014, Singapore, Singapore. pp.544-549, ⟨10.1109/ASPDAC.2014.6742948⟩
Communication dans un congrès lirmm-01248596v1
Image document

A Delay Probability Metric for Input Pattern Ranking Under Process Variation and Supply Noise

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.226-231, ⟨10.1109/ISVLSI.2014.42⟩
Communication dans un congrès lirmm-01248592v1

Test and diagnosis of power switches

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.213-218, ⟨10.1109/DDECS.2014.6868792⟩
Communication dans un congrès lirmm-01248590v1

Path delay test in the presence of multi-aggressor crosstalk, power supply noise and ground bounce

Anu Asokan , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2014, Warsaw, Poland. pp.207-212, ⟨10.1109/DDECS.2014.6868791⟩
Communication dans un congrès lirmm-01248599v1

Real-Time Testing of 90nm COTS SRAMs at Concordia Station in Antarctica

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès lirmm-01237709v1

iBoX — Jitter based Power Supply Noise sensor

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Aida Todri-Sanial , Arnaud Virazel
ETS: European Test Symposium, May 2014, Paderborn, United States. ⟨10.1109/ETS.2014.6847830⟩
Communication dans un congrès lirmm-01248601v1

Efficient Dynamic Test Methods for COTS SRAMs Under Heavy Ion Irradiation

Georgios Tsiligiannis , Luigi Dilillo , Viyas Gupta , Alberto Bosio , Patrick Girard
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2014, Paris, France
Communication dans un congrès lirmm-01237660v1

A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
VTS: VLSI Test Symposium, Apr 2013, Berkeley, CA, United States. pp.1-6, ⟨10.1109/VTS.2013.6548894⟩
Communication dans un congrès lirmm-00805366v1

Computing Detection Probability of Delay Defects in Signal Line TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569349⟩
Communication dans un congrès lirmm-00839044v1

Improving Defect Localization Accuracy by means of Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
SDD: Silicon Debug and Diagnosis, Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00806872v1

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Communication dans un congrès lirmm-01248603v1

SEU Monitoring in Mixed-Field Radiation Environments of Particle Accelerators

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937419⟩
Communication dans un congrès lirmm-00839085v1

Worst-Case Power Supply Noise and Temperature Distribution Analysis for 3D PDNs with Multiple Clock Domains

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. ⟨10.1109/NEWCAS.2013.6573628⟩
Communication dans un congrès lirmm-00839042v1

SRAM Soft Error Rate Evaluation Under Atmospheric Neutron Radiation and PVT variations

Georgios Tsiligiannis , Elena Ioana Vatajelu , Luigi Dilillo , Alberto Bosio , Patrick Girard
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Crete, Greece. pp.145-150, ⟨10.1109/IOLTS.2013.6604066⟩
Communication dans un congrès lirmm-00818955v1

Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing

Elena Ioana Vatajelu , Alberto Bosio , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2013, Jiaosi Township, Taiwan. pp.109-114, ⟨10.1109/ATS.2013.30⟩
Communication dans un congrès lirmm-01248609v1
Image document

Test Solution for Data Retention Faults in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Communication dans un congrès lirmm-00805140v1

A novel method to mitigate TSV electromigration for 3D ICs

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ISVLSI: IEEE Computer Society Annual Symposium on VLSI, Aug 2013, Natal, Brazil. pp.121-126, ⟨10.1109/ISVLSI.2013.6654633⟩
Communication dans un congrès lirmm-01248617v1

On the correlation between Static Noise Margin and Soft Error Rate evaluated for a 40nm SRAM cell

Elena Ioana Vatajelu , Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard
DFT: Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2013, New York, United States. pp.143-148, ⟨10.1109/DFT.2013.6653597⟩
Communication dans un congrès lirmm-01238413v1
Image document

Multiple-Cell-Upsets on a commercial 90nm SRAM in Dynamic Mode

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
RADECS: Radiation and Its Effects on Components and Systems, Sep 2013, Oxford, United Kingdom. pp.1-4, ⟨10.1109/RADECS.2013.6937429⟩
Communication dans un congrès lirmm-00839062v1

Effect-Cause Intra-Cell Diagnosis at Transistor Level

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISQED 2013 - 14th International Symposium on Quality Electronic Design, Mar 2013, Santa Clara, CA, United States. pp.460-467, ⟨10.1109/ISQED.2013.6523652⟩
Communication dans un congrès lirmm-00817224v1

Fast and Accurate Electro-Thermal Analysis of Three-Dimensional Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2013, Wroclaw, Poland. pp.1-4, ⟨10.1109/EuroSimE.2013.6529956⟩
Communication dans un congrès lirmm-00839043v1

Temperature Impact on the Neutron SER of a Commercial 90nm SRAM

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
NSREC: Nuclear and Space Radiation Effects Conference, Jul 2013, San Francisco, Ca, United States. pp.1-4
Communication dans un congrès lirmm-00805291v1

On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC: International Test conference, Sep 2013, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2013.6651927⟩
Communication dans un congrès lirmm-00818977v1
Image document

Performance Characterization of TAS-MRAM Architectures in Presence of Capacitive Defects

João Azevedo , Arnaud Virazel , Yuanqing Cheng , Alberto Bosio , Luigi Dilillo
VALID: Advances in System Testing and Validation Lifecycle, Oct 2013, Venice, Italy. pp.39-44
Communication dans un congrès lirmm-01433308v1

Characterization of an SRAM Based Particle Detector For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
IWASI: International Workshop on Advances in Sensors and Interfaces, Jun 2013, Bari, Italy. pp.75-80, ⟨10.1109/IWASI.2013.6576070⟩
Communication dans un congrès lirmm-00839046v1

Analyzing resistive-open defects in SRAM core-cell under the effect of process variability

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569373⟩
Communication dans un congrès lirmm-01921630v1

Evaluating An SEU Monitor For Mixed-Field Radiation Environments

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
iWoRID: International Workshop on Radiation Imaging Detectors, SOLEIL Synchrotron, Jun 2013, Paris, France
Communication dans un congrès lirmm-01238433v1

Mitigate TSV Electromigration for 3D ICs - From the Architecture Perspective

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
International Symposium on VLSI, Natale, Brazil. pp.6
Communication dans un congrès lirmm-00839052v1

Complete Framework for the Estimation of the SRAM Core-Cell Resilience to Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: Radiation and its Effects on Components and Systems, Sep 2012, Biarritz, France
Communication dans un congrès hal-01935785v1

Robustness Improvement of Digital Circuits A New Hybrid Fault Tolerant Architecture

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM'11: Journées Nationales du Réseau Doctoral de Microélectronique, Paris, France
Communication dans un congrès lirmm-00679509v1

Impacts of Resistive-Open Defects in the Word-Line Selection of TAS-MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806842v1

Through-Silicon-Via Resistive-Open Defect Analysis

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233037⟩
Communication dans un congrès lirmm-00806848v1

Why and How Controlling Power Consumption During Test: A Survey

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp. 221-226, ⟨10.1109/ATS.2012.30⟩
Communication dans un congrès lirmm-00818984v1

Adaptive Voltage Scaling via Effective On-Chip Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806859v1

Evaluation of Test Algorithms Stress Effect on SRAMs under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
IOLTS: International On-Line Testing Symposium, Jun 2012, Sitges, Spain. pp.212-222, ⟨10.1109/IOLTS.2012.6313853⟩
Communication dans un congrès lirmm-00805373v1
Image document

Tutorial intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
ITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States
Communication dans un congrès lirmm-00820734v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
GDR SOC-SIP'11 : Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679522v1
Image document

Electro-Thermal Analysis of 3D Power Delivery Networks

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DAC: Design Automation Conference, 2012, San Francisco, United States
Communication dans un congrès lirmm-00806836v1

A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'11 : Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679513v1

Defect Localization Through an Effect-Cause based Intra-Cell Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, 2012, Paris, France
Communication dans un congrès lirmm-00806841v1

Fault Localization Improvement through an Intra-Cell Diagnosis Approach

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ISTFA 2012 - 38th International Symposium for Testing and Failure Analysis, Nov 2012, Phoenix, AZ, United States. pp.509-519
Communication dans un congrès lirmm-00806863v1

Dynamic Mode Test of a Commercial 4Mb Toggle MRAM under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès lirmm-00805165v1

Radiation Induced Effects on Electronic Systems and ICs

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
SETS: South European Test Seminar, Mar 2012, Sauze d'Oulx, Italy
Communication dans un congrès lirmm-00807055v1

Impact of Resistive-Open Defects on the Heat Current of TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DATE 2012 - 15th Design, Automation and Test in Europe Conference and Exhibition, Mar 2012, Dresden, Germany. pp.532-537, ⟨10.1109/DATE.2012.6176526⟩
Communication dans un congrès lirmm-00689024v1

Test and Reliability of Magnetic Random Access Memories

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'11: Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679516v1

Resistive-Open Defect Analysis for Through-Silicon-Vias

Carolina Momo Metzler , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France
Communication dans un congrès lirmm-00806803v1

Defect Analysis in Power Mode Control Logic of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233033⟩
Communication dans un congrès lirmm-00805374v1

Dynamic Mode Testing of SRAMS under Neutron Radiation

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
Sixième colloque du GDR SOC-SIP du CNRS, Jun 2012, Paris, France
Communication dans un congrès lirmm-00807053v1

Power Supply Noise Sensor Based on Timing Uncertainty Measurements

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.161-166, ⟨10.1109/ATS.2012.46⟩
Communication dans un congrès lirmm-00806890v1

Dynamic-Stress Neutrons Test of Commercial SRAMs

Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Frédéric Wrobel , Frédéric Saigné
IEEE Nuclear and Space Radiation Effects Conference, Jul 2012, Las Vegas, NV, United States. pp.1-4
Communication dans un congrès lirmm-00805349v1

Peak Power Estimation: A Case Study on CPU Cores

Paolo Bernardi , Mauricio de Carvalho , Ernesto Sanchez , Matteo Sonza Reorda , Alberto Bosio
IEEE Asian Test Symposium, Nov 2012, Niigata, Japan. pp.167-172, ⟨10.1109/ATS.2012.58⟩
Communication dans un congrès lirmm-00805389v1

Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test Solutions

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2012.6401578⟩
Communication dans un congrès lirmm-00805143v1

SRAM testing under Neutron Radiation for the evaluation of different algorithms stress

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
15ème Journées Nationales du Réseau Doctoral en Microélectronique, Jun 2012, Marseille, France
Communication dans un congrès lirmm-00807054v1

A Novel Framework for Evaluating the SRAM Core-Cell Sensitivity to Neutrons

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Aida Todri-Sanial
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2012, Biarritz, France. pp.1-4
Communication dans un congrès lirmm-00805163v1

Resistive-Open Defects Affecting Bit-Line Selection in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM: Journées Nationales du Réseau Doctoral en Microélectronique, 2012, Paris, France
Communication dans un congrès lirmm-00806827v1

Advanced Test Methods for SRAMs

Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
VTS: VLSI Test Symposium, Apr 2012, Hyatt Maui, HI, United States. pp.300-301, ⟨10.1109/VTS.2012.6231070⟩
Communication dans un congrès lirmm-00805049v1

A Pseudo-Dynamic Comparator for Error Detection in Fault Tolerant Architectures

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
VTS: VLSI Test Symposium, Apr 2012, Hawaii, United States. pp.50-55, ⟨10.1109/VTS.2012.6231079⟩
Communication dans un congrès lirmm-00806778v1
Image document

Impact of Resistive-Bridge Defects in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ATS: Asian Test Symposium, Nov 2012, Niigata, Japan. pp.125-130, ⟨10.1109/ATS.2012.37⟩
Communication dans un congrès lirmm-00806809v1

Analysis of Resistive-Open Defects in TAS-MRAM Array

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC: International Test Conference, Sep 2011, Anaheim, CA, United States
Communication dans un congrès lirmm-00679524v1

Robust Structure for Data Collection and Transfer in a Distributed SRAM Based Neutron Test Bench

Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
Workshop on Dependability Issues in Deep-Submicron Technologies, Trondheim, Norway
Communication dans un congrès lirmm-00651796v1

Simultaneous Power and Thermal Integrity Analysis for 3D Integrated Systems

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Communication dans un congrès lirmm-00651802v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Netherlands. pp.353-358
Communication dans un congrès lirmm-00592182v1

Power-Aware Test Pattern Generation for At-Speed LOS Testing

Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.506-510
Communication dans un congrès lirmm-00651917v1

Power Supply Noise and Ground Bounce Aware Pattern Generation for Delay Testing

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
NEWCAS: International New Circuits and Systems Conference, Jun 2011, Bordeaux, France. pp.73-76, ⟨10.1109/NEWCAS.2011.5981222⟩
Communication dans un congrès lirmm-00647815v1

A Study of Path Delay Variations in the Presence of Uncorrelated Power and Ground Supply Noise

Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS: Design and Diagnostics of Electronic Circuits and Systems, Apr 2011, Cottbus, Germany. pp.189-194, ⟨10.1109/DDECS.2011.5783078⟩
Communication dans un congrès lirmm-00592000v1

On Using Address Scrambling to Implement Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ITC'2011: International Test Conference, Sep 2011, Anaheim, CA, United States. pp.N/A
Communication dans un congrès lirmm-00647773v1
Image document

Power-Aware Testing and Test Strategies for Low Power Devices

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
DATE: Design, Automation and Test in Europe, Mar 2011, Grenoble, France
Communication dans un congrès lirmm-00820698v1

Tolérance aux fautes et rendement de fabrication

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553995v1

X-Identification of Transition Delay Fault Tests for Launch-off Shift Scheme

Kohei Miyase , Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard
WRTLT'10: 11th IEEE Workshop On RTL and High Level Testing, Shanghai, China. pp.N/A
Communication dans un congrès lirmm-00566869v1

A DfT Solution for Oxide Thickness Varitions in ATMEL eFlash Technology

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, 2011, Athènes, Greece
Communication dans un congrès lirmm-00647750v1

Variability Analysis of an SRAM Test Chip

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2011, Trondheim, Norway
Communication dans un congrès lirmm-00651791v1

On using a SPICE-like TSTAC™ eFlash model for design and test

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
DDECS: Design and Diagnostics of Electronic Circuits ans Systems, Apr 2011, Cottbus, Germany. pp.359-370, ⟨10.1109/DDECS.2011.5783111⟩
Communication dans un congrès lirmm-00592203v1

Power Reduction Through X-filling of Transition Fault Test Vectors for LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, 2011, Athènes, Greece. ⟨10.1109/DTIS.2011.5941434⟩
Communication dans un congrès lirmm-00647760v1

Neutron-Induced Multiple Bit Upsets on Dynamically-Stressed Commercial SRAM Arrays

Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Alessio Griffoni , Frédéric Wrobel
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2011, Seville, Spain. pp.274-280, ⟨10.1109/RADECS.2011.6131396⟩
Communication dans un congrès lirmm-00805314v1

Impact of Resistive-Bridging Defects in SRAM Core-Cell

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DELTA'10: International Symposium on Electronic Design, Test & Applications, Ho Chi Minh, Vietnam. pp.265-270
Communication dans un congrès lirmm-00553592v1

Mapping Test Power to Functional Power through Smart X-Filling for LOS Scheme

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
LPonTR'11: IEEE International Workshop on the Impact of Low Power on Test and Reliability, Trondheim, Norway
Communication dans un congrès lirmm-00651905v1

Error Resilient Infrastructure for Data Transfer in a Distributed Neutron Detector

Luigi Dilillo , Alberto Bosio , Miroslav Valka , Patrick Girard , Serge Pravossoudovitch
DFT 2011 - International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2011, Vancouver, Canada. pp.294-301, ⟨10.1109/DFT.2011.41⟩
Communication dans un congrès lirmm-00651226v1

Test Relaxation and X-filling to Reduce Peak Power During At-Speed LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553989v1

Detecting NBTI Induced Failures in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VTS'10: VLSI Test Symposium, Santa Cruz, CA, United States. pp.75-80
Communication dans un congrès lirmm-00553612v1

Failure Analysis and Test Solutions for Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.459-460, ⟨10.1109/ATS.2011.97⟩
Communication dans un congrès lirmm-00805123v1
Image document

A Hybrid Fault Tolerant Architecture for Robustness Improvement of Digital Circuits

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ATS 2011 - 20th IEEE Asian Test Symposium, Nov 2011, New Delhi, India. pp.136-141, ⟨10.1109/ATS.2011.89⟩
Communication dans un congrès lirmm-00651238v1

On Using Address Scrambling for Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
International test Conference, Sep 2011, Anaheim, CA, United States. pp.1-8, ⟨10.1109/TEST.2011.6139149⟩
Communication dans un congrès lirmm-00805334v1

Effective Launch-to-Capture Power Reduction for LOS Scheme with Adjacent-Probability-Based X-Filling

Kohei Miyase , Yuta Uchinodan , Kazunari Enokimoto , Yuta Yamato , Xiaoqing Wen
ATS: Asian Test Symposium, 2011, New Delhi, India. pp.21-23
Communication dans un congrès lirmm-00651247v1

A Functional Power Evaluation Flow for Defining Test Power Limits during At-Speed Delay Testing

Miroslav Valka , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ETS 2011 - 16th IEEE European Test Symposium, May 2011, Trondheim, Norway. pp.153-158, ⟨10.1109/ETS.2011.21⟩
Communication dans un congrès lirmm-00647822v1

Analyse et modélisation des défauts résistifs affectant les mémoires Flash

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
GDR SOC-SIP'10 : Colloque GDR SoC-SiP, Cergy, France
Communication dans un congrès lirmm-00553947v1

Neutron Detection in Atmospheric Environment through Static and Dynamic SRAM-Based Test Bench

Luigi Dilillo , Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Frédéric Wrobel
IEEE Latin American test Workshop, Mar 2011, Porto de Galinhas, Brazil. pp.1-6
Communication dans un congrès lirmm-00805120v1

Robust Data Collection and Transfer Framework for a Distributed SRAM Based Neutron Sensor

Luigi Dilillo , Alberto Bosio , Paolo Rech , Patrick Girard , Frédéric Wrobel
IEEE International Workshop on Advances in Sensors and Interfaces, Jun 2011, Savelletri di Fasano, Italy. pp.176-180, ⟨10.1109/IWASI.2011.6004712⟩
Communication dans un congrès lirmm-00805394v1

Analysis and Fault Modeling of Actual Resistive Defects in Flash Memories

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
JNRDM'10 : Journées Nationales du Réseau Doctoral de Microélectronique, Montpellier, France
Communication dans un congrès lirmm-00553935v1

An Exact and Efficient Critical Path Tracing Algorithm

Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Paolo Bernardi , Matteo Sonza Reorda
DELTA'10: Electronic Design, Test and Application, Vietnam. pp.164-169, ⟨10.1109/DELTA.2010.35⟩
Communication dans un congrès lirmm-00539738v1

A Comprehensive System-on-Chip Logic Diagnosis

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, 2010, Shanghai, China. pp.237-242
Communication dans un congrès lirmm-00545131v1

A Statistical Simulation Method for Reliability Analysis of SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DAC: Design Automation Conference, Jun 2010, Anaheim, United States. pp.853-856
Communication dans un congrès lirmm-00553619v1
Image document

Tutorial intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
IEEE International NEWCAS Conference, Jun 2010, Montréal, Canada
Communication dans un congrès lirmm-00820652v1

Setting Test Conditions for Detecting Faults Induced by Random Dopant Fluctuation in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VARI: Workshop on CMOS Variability, 2010, Montpellier, France
Communication dans un congrès lirmm-00553626v1
Image document

Power-Aware Testing and Test Strategies for Low Power Devices

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
LATW: Latin American Test Workshop, Mar 2010, Punta del Este, Uruguay
Communication dans un congrès lirmm-00820651v1

Impact of Resistive-Open Defects on SRAM sensitivity to Soft Errors

Paolo Rech , Jean-Marc J.-M. Galliere , Patrick Girard , Frédéric Wrobel , Frédéric Saigné
RADECS: European Conference on Radiation and Its Effects on Components and Systems, Sep 2010, Langenfeld, Austria
Communication dans un congrès lirmm-00566847v1
Image document

Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study from 90nm down to 40nm Technology Nodes

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.132-137
Communication dans un congrès lirmm-00493236v1
Image document

A Two-Layer SPICE Model of the ATMEL TSTAC eFlash Memory Technology for Defect Injection and Faulty Behavior Prediction

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.81-86
Communication dans un congrès lirmm-00493204v1

Power Reduction Through X-filling of Transition Fault Test Vectors for LOS Testing

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
LPonTR: 
Impact of Low-Power design on Test and Reliability, May 2010, Prague, Czech Republic
Communication dans un congrès lirmm-00553930v1

Pattern Grading for Testing Critical Paths Considering Power Supply Noise and Crosstalk Using a Layout-Aware Quality Metric

Junxia Ma , Jeremy Lee , Nisar Ahmed , Patrick Girard , Mohammad Tehranipoor
GLSVLSI'10: IEEE Great Lake Symposium on VLSI, United States. pp.127-130
Communication dans un congrès lirmm-00618748v1
Image document

Setting Test Conditions for Improving SRAM Reliability

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.257-262
Communication dans un congrès lirmm-00492741v1
Image document

Tutorial intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
MWSCAS'2010: International Midwest Symposium on Circuits and Systems, Aug 2010, Seattle, United States
Communication dans un congrès lirmm-00820689v1
Image document

Tutorial intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
ICM'2010: International Conference on Microelectronics, Dec 2010, La Caire, Egypt
Communication dans un congrès lirmm-00820692v1

Analysis of Power Consumption and Transition Fault Coverage for LOS and LOC Testing Schemes

Wu Fangmei , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DDECS'10: 13th IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2010, Vienna, Austria. pp.376-381
Communication dans un congrès lirmm-00475734v1

A Memory Fault Simulator for Radiation-Induced Effects in SRAMs

Paolo Rech , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
ATS: Asian Test Symposium, 2010, Shanghai, China. pp.100-105
Communication dans un congrès lirmm-00545102v1

Power: The New Dimension of Test

Patrick Girard
IEEE Workshop on RTL and High Level Testing, Sapporo, Japan
Communication dans un congrès lirmm-00406964v1

A Case Study on Logic Diagnosis for System-on-Chip

Youssef Benabboud , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
ISQED 2009 - 10th International Symposium on Quality Electronic Design, Mar 2009, San Jose, CA, United States. pp.253-260, ⟨10.1109/ISQED.2009.4810303⟩
Communication dans un congrès lirmm-00370646v1
Image document

Power-Aware Testing and Test Strategies for Low Power Devices

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
ATS: Asian Test Symposium, Nov 2009, Taichung, Taiwan
Communication dans un congrès lirmm-00820650v1

Using TMR Architectures for SoC Yield Improvement

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
VALID'09: The First International Conference on Advances in System Testing and Validation Lifecycle, 2009, Porto, Portugal. pp.155-160
Communication dans un congrès lirmm-00406967v1

An Efficient Fault Simulation Technique for Transition Faults in Non-Scan Sequential Circuits

Alberto Bosio , Paolo Bernardi , Patrick Girard , Serge Pravossoudovitch , Matteo Sonza Reorda
DDECS'09: 12th IEEE Symposium on Design and Diagnostics of Electronic Systems, pp.50-55
Communication dans un congrès lirmm-00371197v1

A Fault-Simulation-Based Approach for Logic Diagnosis

Youssef Benabboud , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2009, Cairo, Egypt. pp.216-221
Communication dans un congrès lirmm-00371377v1

Delay Fault Diagnosis in Sequential Circuits

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2009, Taichung, Taiwan. pp.355-360
Communication dans un congrès lirmm-00406968v1
Image document

Tutorial intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard , Xiaoqing Wen , Nicola Nicolici
ITC'2009: International Test Conference, Nov 2009, Austin, Texas, United States
Communication dans un congrès lirmm-00820646v1

Comprehensive Bridging Fault Diagnosis based on the SLAT Paradigm

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Arnaud Virazel
DDECS'09: 12th IEEE Symposium on Design and Diagnostics of Electronic Systems, pp.264-269
Communication dans un congrès lirmm-00371198v1

Trade-off Between Power Dissipation and Delay Fault Coverage For LOS and LOC Testing Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Impact of Low-Power Design on Test and Reliability, Spain
Communication dans un congrès lirmm-00435005v1
Image document

A New Design-for-Test Technique for SRAM Core-Cell Stability Faults

Alexandre Ney , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
DATE: Design, Automation and Test in Europe, Apr 2009, Nice, France. pp.1344-1348, ⟨10.1109/DATE.2009.5090873⟩
Communication dans un congrès lirmm-00371374v1
Image document

Keynote intitulé "Power : The New Dimension of Test"

Patrick Girard
WRTLT'2008: Workshop on RTL and High Level Testing, Nov 2008, Sapporo, Japan
Communication dans un congrès lirmm-00820640v1

CTX: A Clock-Gating-Based Test Relaxation and X-Filling Scheme for Reducing Yield Loss Risk in At-Speed Scan Testing

Hiroshi Furukawa , Xiaoqing Wen , Kohei Miyase , Yuta Yamato , Seiji Kajihara
ATS: Asian Test Symposium, Nov 2008, Sapporo, Japan. pp.297-302, ⟨10.1109/ATS.2008.27⟩
Communication dans un congrès lirmm-00406971v1

A History-Based Diagnosis Technique for Static and Dynamic Faults in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ITC'2008: International Test Conference, Oct 2008, Santa Clara, CA, United States. pp.1-10, ⟨10.1109/TEST.2008.4700555⟩
Communication dans un congrès lirmm-00341798v1

SoC Symbolic Simulation: A Case Study on Delay Fault Testing

Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Paolo Bernardi
DDECS'08: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Apr 2008, Bratislava, Slovakia. pp.320-325
Communication dans un congrès lirmm-00278340v1

Test Power: A Big Issue in Large SOC Design

Yannick Bonhomme , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
IEEE International Workshop on Electronic DesignTest and Applications, Christchurch, New Zeland, pp.447-449
Communication dans un congrès lirmm-00268493v1

Improved Diagnosis Resolution without Physical Information

Alberto Bosio , Alexandre Rousset , Patrick Girard , Serge Pravossoudovitch , Christian Landrault
DELTA'08: International Symposium on Electronic Design, Test & Applications, Jan 2008, pp.210-215
Communication dans un congrès lirmm-00260961v1

Using TMR Architectures for Yield Improvement

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DFT'08: 23rd IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Oct 2008, pp.007-015
Communication dans un congrès lirmm-00326901v1

Case Study on Logic Diagnosis for Industrial Circuits

Youssef Benabboud , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch , Laroussi Bouzaida
GDR SOC-SIP: System-On-Chip & System-In-Package, France
Communication dans un congrès lirmm-00343621v1

Impact of Technology Scaling on Defects and Parameter Deviations in Embedded SRAMs

Luigi Dilillo , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VLSI Test Symposium, Apr 2008, San Diego, California, United States. pp.336
Communication dans un congrès lirmm-00324151v1
Image document

A Signature-based Approach for Diagnosis of Dynamic Faults in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2008, Tunis, Tunisia. pp.001-006, ⟨10.1109/DTIS.2008.4540243⟩
Communication dans un congrès lirmm-00324143v1

A History-Based Technique for Faults Diagnosis in SRAMs

Alexandre Ney , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
Colloque GDR SoC-SiP, France
Communication dans un congrès lirmm-00341821v1
Image document

Tutoriel intitulé "Power-Aware Testing and Test Strategies for Low Power Devices"

Patrick Girard
DATE: Design, Automation and Test in Europe, Mar 2008, Munich, Germany
Communication dans un congrès lirmm-00820638v1

An SRAM Design-for-Diagnosis Solution Based on Write Driver Voltage Sensing

Alexandre Ney , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
VTS'08: VLSI Test Symposium, May 2008, San Diego, CA, USA, pp.89-94
Communication dans un congrès lirmm-00281558v1

Analyse des capacités de test de générateurs intégrés produisant des vecteurs adjacents

Arnaud Virazel , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque CAO de Circuits Intégrés et Systèmes, France. pp.88-91
Communication dans un congrès lirmm-00345803v1

Tolérer Plus pour Fabriquer Plus

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque GDR SoC-SiP, France
Communication dans un congrès lirmm-00341812v1
Image document

Yield Improvement, Fault-Tolerance to the Rescue?

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
IOLTS: International On-Line Testing Symposium, Jul 2008, Rhodes, Greece. pp.165-170, ⟨10.1109/IOLTS.2008.10⟩
Communication dans un congrès lirmm-00303400v1

Améliorer le rendement grâce aux structures tolérantes aux fautes

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journées des Doctorants de l'Ecole Doctorale I2S, France
Communication dans un congrès lirmm-00341806v1
Image document

A Design-for-Diagnosis Technique for SRAM Write Drivers

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
DATE: Design, Automation and Test in Europe, Mar 2008, Munich, Germany. pp.1480-1485, ⟨10.1109/DATE.2008.4484883⟩
Communication dans un congrès lirmm-00341796v1

Utilisation de structures tolérantes aux fautes pour augmenter le rendement

Julien Vial , Christian Landrault , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
JNRDM 2008 - 11e Journées Nationales du Réseau Doctoral de Microélectronique, May 2008, Bordeaux, France
Communication dans un congrès lirmm-00341811v1

Test et testabilité de structures numériques tolérantes aux fautes

Julien Vial , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194278v1

Test des Mémoires Flash Embarquées : Analyse de la perturbation entre cellules FloTOx voisines durant une phase de programmation

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Journées Nationales du Réseau Doctoral de Microélectronique, France
Communication dans un congrès lirmm-00194274v1

Embedded Flash Testing

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194277v1

Resistive-Open Defect Influences in SRAM I/O Circuitry

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194282v1

Dynamic Two-Cell Incorrect Read Fault due to Resistive-Open Defects in the Sense Amplifiers of SRAMs

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.97-104, ⟨10.1109/ETS.2007.19⟩
Communication dans un congrès lirmm-00158116v1
Image document

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
DATE: Design, Automation and Test in Europe, Apr 2007, Nice, France. pp.528-533, ⟨10.1109/DATE.2007.364647⟩
Communication dans un congrès lirmm-00187037v1

Influence of Threshold Voltage Deviations on 90nm SRAM Core-Cell Behavior

Magali Bastian Hage-Hassan , Vincent Gouin , Patrick Girard , Christian Landrault , Alexandre Ney
ATS 2007 - 16th IEEE Asian Test Symposium, Oct 2007, Beijing, China. pp.501-504, ⟨10.1109/ATS.2007.121⟩
Communication dans un congrès lirmm-00179276v1
Image document

A Novel Scheme to Reduce Power Supply Noise for High-Quality At-Speed Scan Testing

Xiaoqing Wen , Kohei Miyase , Seiji Kajihara , Tatsuya Suzuki , Yuta Yamato
ITC 2007 - IEEE International Test Conference, Oct 2007, Santa Clara, CA, United States. pp.1-10, ⟨10.1109/TEST.2007.4437632⟩
Communication dans un congrès lirmm-00195682v1

Electrical Simulation Model of the 2T-FLOTOX Core-Cell for Defect Injection and Faulty Behavior Prediction in eFlash Memories

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.77-82, ⟨10.1109/ETS.2007.20⟩
Communication dans un congrès lirmm-00158543v1

A Mixed Approach for Unified Logic Diagnosis

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DDECS'07: IEEE Design and Diagnostics of Electronic Circuits and Systems, Apr 2007, Krakow, Poland, pp.239-242
Communication dans un congrès lirmm-00161643v1

Méthode de diagnostic unifiée pour circuits intégrés numériques

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194285v1

Un-Restored Destructive Write Faults due to Resistive-Open Defects in the Write Driver of SRAMs

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VTS 2007 - 25th IEEE VLSI Test Symposium, May 2007, Berkeley, CA, United States. pp.361-366, ⟨10.1109/VTS.2007.84⟩
Communication dans un congrès lirmm-00155979v1
Image document

A Concurrent Approach for Testing Address Decoder Faults in eFlash Memories

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ITC'07: International Test Conference, paper 3.2
Communication dans un congrès lirmm-00194260v1

Retention and Reliability Problems in Embedded Flash Memories: Analysis and Test of Defective 2T-FLOTOX Tunnel Window

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
VTS'07: 25th IEEE VLSI Test Symposium, May 2007, Berkeley, CA (USA), pp.47-52
Communication dans un congrès lirmm-00151034v1

DERRIC: A Tool for Unified Logic Diagnosis

Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Alexandre Rousset
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.13-18, ⟨10.1109/ETS.2007.16⟩
Communication dans un congrès lirmm-00155993v1

Fast Bridging Fault Diagnosis using Logic Information

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ATS: Asian Test Symposium, Oct 2007, Beijing, China. pp.33-38
Communication dans un congrès lirmm-00179259v1

An Overview of Failure Mechanisms in Embedded Flash Memories

Olivier Ginez , Jean-Michel Daga , Marylène Combe , Patrick Girard , Christian Landrault
VTS'06: VLSI Test Symposium, Apr 2006, Berkeley, CA, United States. pp.108-113
Communication dans un congrès lirmm-00102761v1
Image document

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Sep 2006, Tunis, Tunisia. pp.359-364
Communication dans un congrès lirmm-00093690v1
Image document

Diagnostic Multi-Modèles des Circuits Logiques

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
MAJECSTIC'06: Manifestation des Jeunes Chercheurs STIC, Nov 2006, Lorient, France
Communication dans un congrès lirmm-00136876v1
Image document

Méthode unifiée de diagnostic ciblant l'ensemble des modèles de fautes

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Communication dans un congrès lirmm-00136841v1

Leakage Read Fault in Nanoscale SRAM: Analysis, Test and Diagnosis

Luigi Dilillo , Bashir Al-Hashimi , Paul Rosinger , Patrick Girard
IDT'06: IEEE International Design and Test Workshop, Nov 2006, Dubai, United Arab Emirates. pp.110-115
Communication dans un congrès lirmm-00137603v1
Image document

Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
VLSI-SOC'06: 14th IFIP WG 10.5 International Conference on Very Large Scale Integration and System-on-Chip, Oct 2006, Nice (France), pp.403-408
Communication dans un congrès lirmm-00108141v1
Image document

Minimizing Test Power in SRAM through Pre-charge Activity Reduction

Luigi Dilillo , Paul Rosinger , Patrick Girard , Bashir Al-Hashimi
DATE: Design, Automation and Test in Europe, Mar 2006, Munich, Germany. pp.1159-1165, ⟨10.1109/DATE.2006.244016⟩
Communication dans un congrès lirmm-00137598v1
Image document

Unified Diagnostic Method Targeting Several Fault Models

Alexandre Rousset , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
VLSI-SOC'06: 14th IFIP WG 10.5 International Conference on Very Large Scale Integration and System-on-Chip, Oct 2006, Nice, pp.53-55
Communication dans un congrès lirmm-00136869v1
Image document

Embedded Flash Testing: Overview and Perspectives

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Sep 2006, Tunis, Tunisia. pp.210-215
Communication dans un congrès lirmm-00093665v1

Low Power Testing

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
WRTLT'06: 7th Workshop on RTL and High Level Testing, Nov 2006, Fukuoka, pp.4
Communication dans un congrès lirmm-00116819v1

March Pre: an Efficient Test for Resistive-Open Defects in the SRAM Pre-charge Circuit

Luigi Dilillo , Patrick Girard , Magali Bastian Hage-Hassan , Serge Pravossoudovitch , Arnaud Virazel
DDECS'06: Design and Diagnostics of Electronic Circuits and Systems, Apr 2006, Prague, République Tchèque, pp.256-261
Communication dans un congrès lirmm-00134776v1

Power-Aware Test Data Compression for Embedded IP Core

Nabil Badereddine , Zhanglei Wang , Patrick Girard , Krishnendu Chakrabarty , Arnaud Virazel
ATS 2006 - 15th IEEE Asian Test Symposium, Nov 2006, Fukuoka, Japan. pp.5-10, ⟨10.1109/ATS.2006.66⟩
Communication dans un congrès lirmm-00116832v1
Image document

Unified Framework for Logic Diagnosis

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
EWDTW: East-West Design & Test Workshop, Sep 2006, Sochi, Russia. pp.47-52
Communication dans un congrès lirmm-00096211v1
Image document

Technique Structurelle d'Affectation des Bits Non Spécifiés en Vue d'une Réduction de la Puissance de Pic Pendant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Communication dans un congrès lirmm-00136838v1

Minimizing Peak Power Consumption during Scan Testing: Structural Technique for Don't Care Bits Assignment

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
PRIME'06: Conference on Ph.D. Research in Microelectronics and Electronics, Jun 2006, Otranto, Italy, pp.65-68
Communication dans un congrès lirmm-00137614v1

Incidence des Défauts Résistifs dans les Circuits de Précharge des Mémoires SRAM

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
JNRDM 2005 - 8e Journées Nationales du Réseau Doctoral de Microélectronique, May 2005, Paris, France
Communication dans un congrès lirmm-00106529v1

Resistive-Open Defect Injection in SRAM Core-Cell: Analysis and Comparison between 0.13μm and 90nm Technologies

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
DAC: Design Automation Conference, May 2005, Anaheim, CA, United States. pp.857-862, ⟨10.1145/1065579.1065804⟩
Communication dans un congrès lirmm-00106558v1

European Projects: What Type of Instruments for what Type of Research?

Patrick Girard
1st Reconfigurable Communication-Centric SoCs Workshop, Jun 2005
Communication dans un congrès lirmm-00106559v1
Image document

Efficient Test of Dynamic Read Destructive Faults in SRAM Memories

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
LATW: Latin American Test Workshop, Mar 2005, Salvador, Bahia, Brazil. pp.40-45
Communication dans un congrès lirmm-00106515v1

Resistive-Open Defect Influence in SRAM Pre-Charge Circuits: Analysis and Characterization

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
ETS: European Test Symposium, May 2005, Tallinn, Estonia. pp.116-121, ⟨10.1109/ETS.2005.33⟩
Communication dans un congrès lirmm-00106010v1
Image document

Controlling Peak Power Consumption During Scan Testing: Power-Aware DfT and Test Set Perspectives

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2005, Leuven, Belgium. pp.540-549, ⟨10.1007/11556930_55⟩
Communication dans un congrès lirmm-00106111v1

Resistive-Open Defect Injection in SRAM Core-Cell: Analysis and Comparison Between 0.13 um and 90 nm Technologies

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
DAC: Design Automation Conference, Jun 2005, Anaheim, CA, United States. pp.857-862
Communication dans un congrès lirmm-00136906v1

Analyse et Réduction de la Puissance de Pic durant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
JNRDM 2005 - 8e Journées Nationales du Réseau Doctoral de Microélectronique, May 2005, Paris, France
Communication dans un congrès lirmm-00106528v1

Peak Power Consumption During Scan Testing: Issue, Analysis and Heuristic Solution

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DDECS'05: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Apr 2005, Sopron, Hungary. pp.151-159
Communication dans un congrès lirmm-00105990v1
Image document

Test Application Time Reduction with a Dynamically Reconfigurable Scan Tree Architecture

Yannick Bonhomme , Tomokazu Yoneda , Hideo Fujiwara , Patrick Girard
8th IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2005, Sopron, Hungary. pp.19-26
Communication dans un congrès lirmm-00105987v1
Image document

Data Retention Fault in SRAM Memories: Analysis and Detection Procedures

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
VTS 2005 - 23rd IEEE VLSI Test Symposium, May 2005, Palm Springs, CA, United States. pp.183-188, ⟨10.1109/VTS.2005.37⟩
Communication dans un congrès lirmm-00105995v1

Power-Aware Scan Testing for Peak Power Reduction

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
VLSI-SOC'05: IFIP International Conference on Very Large Scale Integration, Oct 2005, Perth, Australia. pp.441-446
Communication dans un congrès lirmm-00106112v1
Image document

BIST of Delay Faults in the Logic Architecture of Symmetrical FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
IOLTS: International On-Line Testing Symposium, Jul 2004, Madeira Island, Portugal. pp.187-192, ⟨10.1109/OLT.2004.1319686⟩
Communication dans un congrès lirmm-00108824v1

Design of Routing-Constrained Low Power Scan Chains

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
DELTA: Electronic Design, Test and Applications, Jan 2004, Perth, Australia. pp.287-292, ⟨10.1109/DELTA.2004.10009⟩
Communication dans un congrès lirmm-00108833v1
Image document

Test March pour la Détection des Fautes Dynamiques dans les Décodeurs de Mémoires SRAM

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
JNRDM'04 : 7ièmes Journées Nationales du Réseau Doctoral de Microélectronique, May 2004, Marseille, France. pp.495-497
Communication dans un congrès lirmm-00108644v1

Test de Fautes de Délai dans les Circuits Intégrés Numériques

Patrick Girard
Réunion Action Spécifique CNRS "TestSOC-MRF", 2004
Communication dans un congrès lirmm-00109139v1

High Quality TPG for Delay Faults in Look-Up Tables of FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
DELTA'04: 2nd International Workshop on Electronic DesignTest and Applications, Jan 2004, Perth (Australia), pp.83-88
Communication dans un congrès lirmm-00108830v1
Image document

March Tests Improvements for Address Decoder Open and Resistive Open Fault Detection

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
LATW: Latin American Test Workshop, Mar 2004, Cartagena, Colombia. pp.31-36
Communication dans un congrès lirmm-00108642v1
Image document

Resistive-Open Defects in Embedded-SRAM Core Cells: Analysis and March Test Solution

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
ATS: Asian Test Symposium, Nov 2004, Kenting, Taiwan. pp.266-271
Communication dans un congrès lirmm-00108800v1

Manufacturing-Oriented Testing of Delay Faults in the Logic Architecture of Symmetrical FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
ETS: European Test Symposium, May 2004, Ajaccio, Corsica, France. pp.117-122
Communication dans un congrès lirmm-00108905v1
Image document

Design of Routing-Constrained Low Power Scan Chains

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
DATE: Design, Automation and Test in Europe, Feb 2004, Paris, France. pp.62-67, ⟨10.1109/DATE.2004.1268828⟩
Communication dans un congrès lirmm-00108836v1
Image document

Dynamic Read Destructive Faults in Embedded-SRAMs: Analysis and March Test Solution

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
ETS: European Test Symposium, May 2004, Ajaccio, Corsica, France. pp.140-145
Communication dans un congrès lirmm-00108795v1

An Efficient Scan Tree Design for Test Time Reduction

Yannick Bonhomme , Tomohiro Yoneda , Hideo Fujiwara , Patrick Girard
ETS: European Test Symposium, May 2004, Ajaccio, Corsica, France. pp.174-179, ⟨10.1109/ETSYM.2004.1347657⟩
Communication dans un congrès lirmm-00108901v1
Image document

March iC-: An Improved Version of March C- for ADOFs Detection

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
VTS: VLSI Test Symposium, Apr 2004, Napa Valley, CA, United States. pp.129-134, ⟨10.1109/VTEST.2004.1299236⟩
Communication dans un congrès lirmm-00108772v1
Image document

Efficient Scan Chain Design for Power Minimization During Scan Testing Under Routing Constraint

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
ITC: International Test Conference, Sep 2003, Charlotte, United States. pp.488-493, ⟨10.1109/TEST.2003.1270874⟩
Communication dans un congrès lirmm-00269529v1

Requirements for Delay Testing of Look-Up Tables in SRAM-Based FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
ETW: European Test Workshop, May 2003, Maastricht, Netherlands. pp.147-152
Communication dans un congrès lirmm-00269530v1
Image document

Power Conscious Testing

Yannick Bonhomme , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
EWDTC: East-West Design & Test Conference, Sep 2003, Yalta, Ukraine. pp.29-31
Communication dans un congrès lirmm-00269649v1

Comparison of open and resistive-open defect test conditions in SRAM address decoders

Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Simone Borri
ATS: Asian Test Symposium, Nov 2003, Xian, China. pp.250-255, ⟨10.1109/ATS.2003.1250818⟩
Communication dans un congrès lirmm-01238821v1

Defect-Oriented Dynamic Fault Models for Embedded-SRAMs

Simone Borri , Magali Bastian Hage-Hassan , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
ETW: European Test Workshop, May 2003, Maastricht, Netherlands. pp.23-28
Communication dans un congrès lirmm-00269526v1

Timing Defect Analysis in Look-Up Tables of SRAM-Based FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
LATW: Latin American Test Workshop, Feb 2003, Natal, Brazil. pp.26-31
Communication dans un congrès lirmm-00269497v1

Conditions pour le Test de Pannes de Délai des Look-Up Table dans les FPGA à Base de SRAM

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2003, Toulouse, France. pp.381-383
Communication dans un congrès lirmm-00269544v1

Defect Analysis for Delay-Fault BIST in FPGAs

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
IOLTS: International On-Line Testing Symposium, Jul 2003, Kos, Greece. pp.124-128, ⟨10.1109/OLT.2003.1214378⟩
Communication dans un congrès lirmm-00269553v1
Image document

Scan Cell Ordering for Low Power Scan Testing

Yves Bonhomme , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ETW: European Test Workshop, May 2002, Corfu, Greece
Communication dans un congrès lirmm-00269337v1

Power Driven Chaining of Flip-Flops in Scan Architectures

Yannick Bonhomme , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ITC: International Test Conference, Oct 2002, Baltimore, United States. pp.796-803, ⟨10.1109/TEST.2002.1041833⟩
Communication dans un congrès lirmm-00268492v1

Pannes Temporelles dans les FPGA

Patrick Girard , Olivier Héron , Serge Pravossoudovitch , Michel Renovell
Colloque du GDR CAO de Circuits et Systèmes Intégrés, May 2002, Paris, France. pp.43-46
Communication dans un congrès lirmm-00269327v1

On Using Efficient Test Sequences for BIST

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VTS: VLSI Test Symposium, 2002, Monterey, CA, United States. pp.145-150
Communication dans un congrès lirmm-00268499v1
Image document

Test Intégré de Circuits Digitaux : Comparaison de deux types de Séquences de Test

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journées des Doctorants, École Doctorale I2S, 2001, Montpellier, France. pp.158-160
Communication dans un congrès lirmm-00345806v1

On Hardware Generation of Random Single Input Change Test

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ETW: European Test Workshop, May 2001, Saltsjöbaden, Sweden. pp.117-123
Communication dans un congrès lirmm-00345801v1
Image document

Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
IOLTW: International On-Line Testing Workshop, Jul 2000, Palma de Mallorca, Spain. pp.121-161, ⟨10.1109/OLT.2000.856623⟩
Communication dans un congrès lirmm-00345800v1
Image document

Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ETW: European Test Workshop, May 2000, Cascais, Portugal. pp.09-14, ⟨10.1109/ETW.2000.873772⟩
Communication dans un congrès lirmm-00345799v1
Image document

Test Intégré de Circuits Digitaux : Etude Comparative de l'Efficacité de deux types de Séquences de Test

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, LIRMM; CEM2, May 2000, Montpellier, France. pp.86-87
Communication dans un congrès lirmm-00345804v1

A BIST Structure to Test Delay Faults in a Scan Environment

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
ATS: Asian Test Symposium, Dec 1998, Singapore, Singapore. pp.435-439
Communication dans un congrès lirmm-00345798v1

A Ring Architecture Strategy for BIST Test Pattern Generation

Christophe Fagot , Olivier Gascuel , Patrick Girard , Christian Landrault
ATS: Asian Test Symposium, Dec 1998, Singapore, Singapore. pp.418-423, ⟨10.1109/ATS.1998.741650⟩
Communication dans un congrès lirmm-00269518v1

Small Delay Defect Investigation in Critical Path Delay with Multiple TSVs

Carolina Momo Metzler , Aida Todri-Sanial , Patrick Girard
EMicro-NE, Oct 2015, Campina Grande, Brazil. , X Escola de Microeletrônica do Nordeste, 2015
Poster de conférence lirmm-01456983v1

Fault-Effect Propagation Based Intra-cell Scan Chain Diagnosis

Zhenzhou Sun , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
Colloque GDR SoC-SiP, Jun 2013, Lyon, France. 2013
Poster de conférence lirmm-00839113v1

Performance Evaluation of Capacitive defects on TAS-MRAMs

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence lirmm-00839093v1

Investigating Multiple-Cell-Upsets on a 90mn SRAM

Georgios Tsiligiannis , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
Colloque GDR SoC-SiP, 2013, Lyon, France. 2013
Poster de conférence lirmm-00839108v1

Coupling-Based Resistive-Open Defects in TAS-MRAM Architectures

João Azevedo , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS: European Test Symposium, May 2012, Annecy, France. Test Symposium (ETS), 2012 17th IEEE European, 2012, ⟨10.1109/ETS.2012.6233034⟩
Poster de conférence lirmm-00806793v1

Resistive Open Defect Analysis for Through-Silicon-Vias

Carolina Momo Metzler , Aida Todri-Sanial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo
ETS: European Test Symposium, May 2012, Annecy, France. 17th IEEE European Test Symposium, pp.183, 2012
Poster de conférence lirmm-00806795v1

A Roaming Memory Test Bench for Detecting Particle Induced SEUs

Jean-Marc J.-M. Galliere , Paolo Rech , Patrick Girard , Luigi Dilillo
ITC 2010 - International Test Conference, Nov 2010, Austin, TX, United States. 2010, ⟨10.1109/TEST.2010.5699302⟩
Poster de conférence lirmm-00537879v1

Parity Prediction Synthesis for Nano-Electronic Gate Designs

Ahn Duc Tran , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC'2010: International Test Conference, Nov 2010, Austin, Texas, United States. pp.N/A, 2010
Poster de conférence lirmm-00537938v1

Is Test Power Reduction Through X-Filling Good Enough?

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ITC'2010: International Test Conference, Nov 2010, Austin, Texas, United States. 2010
Poster de conférence lirmm-00537926v1

Test des Mémoires FLASH NAND

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
Colloque GDR SoC-SiP, France. 2009
Poster de conférence lirmm-00433770v1

Analysis of Resistive-Bridging Defects in SRAM Core-Cell: Impact within the Core-Cell and in the Memory Array

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2009, Sevilla, Spain. 14th IEEE European Test Symposium, 2009
Poster de conférence lirmm-00433796v1

SoC Yield Improvement for Future Nanoscale Technologies

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS 2009 - 14th IEEE European Test Symposium | PhD Forum, May 2009, Sevilla, Spain. 2009
Poster de conférence lirmm-00433798v1

A Logic Diagnosis Approach for Sequential Circuits

Youssef Benabboud , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ETS 2009 - 14th IEEE European Test Symposium, May 2009, Sevilla, Spain. , 2009, Ph. D. Forum
Poster de conférence lirmm-00433792v1

SRAM Core-cell Quality Metrics

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC SIP, France. 2009
Poster de conférence lirmm-00434962v1

Trade-off Between Power Dissipation and Delay Fault Coverage for LOS and LOC Testing Schemes

Fangmei Wu , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
GDR SOC SIP, France. 2009
Poster de conférence lirmm-00434959v1

NAND Flash Testing: A Preliminary Study on Actual Defects

Pierre-Didier Mauroux , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ITC: International Test Conference, Nov 2009, Austin, TX, United States. 2009, ⟨10.1109/TEST.2009.5355898⟩
Poster de conférence lirmm-00433765v1

SoC Yield Improvement: Redundant Architectures to the Rescue

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ITC'2008: International Test Conference, Oct 2008, Santa Clara, CA, United States. IEEE, pp.7, 2008
Poster de conférence lirmm-00341799v1

Structural Power-Aware Assignment of Xs for Peak Power Reduction during Scan Testing

Christian Landrault , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Nabil Badereddine
ETS: European Test Symposium, May 2006, Southampton, United Kingdom. 11th IEEE European Test Symposium, 2006
Poster de conférence lirmm-00134781v1

Failure Mechanisms due to Process Variations in Nanoscale SRAM Core-Cells

Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Magali Bastian Hage-Hassan
ETS: European Test Symposium, May 2006, Southampton, United Kingdom. 11th IEEE European Test Symposium, 2006
Poster de conférence lirmm-00134787v1

Machine Learning Support for Fault Diagnosis of System-on-Chip

Patrick Girard , Shawn Blanton , Li-C. Wang
Springer Nature Switzerland AG, 2023, ISBN 978-3-031-19638-6 ISBN 978-3-031-19639-3 (eBook). ⟨10.1007/978-3-031-19639-3⟩
Ouvrages hal-03990006v1

Proceedings of IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2015)

Aida Todri-Sanial , Giorgio Di Natale , Patrick Girard , Marc Belleville , Saraju P. Mohanty
, 2015, 978-1-4799-8718-4
Ouvrages lirmm-01433587v1

Proceeding of IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2014)

Saraju P. Mohanty , Nagarajan Ranganathan , Sanjukta Bhanja , Sandip Kundu , Patrick Girard
, 2014, 978-1-4799-3763-9
Ouvrages lirmm-01433581v1

Proceedings of the 18th IEEE European Test Symposium (ETS 2013) - May 27-30, 2013 - Avignon, France

Patrick Girard , Sybille Hellebrand , Zebo Peng , Matteo Sonza Reorda , Giorgio Di Natale
IEEE, 2013, 978-1-4673-6375-4
Ouvrages lirmm-01433571v1

Power-Aware Testing and Test Strategies for Low Power Devices

Patrick Girard , Nicolas Nicolici , Xiaoqing Wen
Springer, pp.353, 2010
Ouvrages lirmm-00371356v1

Advanced Test Methods for SRAMs - Effective Solutions for Dynamic Fault Detection in Nanoscaled Technologies

Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel
Springer, 171 p., 2009, 978-1-4419-0937-4
Ouvrages lirmm-00371359v1

13th IEEE European Test Symposium (ETS'08)

Patrick Girard , Matteo Sonza Reorda , Zebo Peng , Christian Landrault , Cecilia Metra
IEEE Computer Society, pp.250, 2008, 978-0-7695-3150-2
Ouvrages lirmm-00326806v1

DDECS'07: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems

Patrick Girard , Elena Gramatova , Adam Pawlak , Andrezw Krasniewski , Tomasz Garbolino
IEEE Computer Society, pp.300, 2007, 1-4244-1161-0
Ouvrages lirmm-00326801v1

International Conference on Design and Test of Integrated Systems in Nanoscale Technology (DTIS 2006)

Patrick Girard , Michel Renovell , Mohamed Masmoudi , Jaouhar Mouine
IEEE, 447 p., 2006, 0-7803-9726-6. ⟨10.1109/DTIS.2006.1708761⟩
Ouvrages lirmm-00136926v1

Proceedings of the 3rd IEEE International Workshop on Electronic Design, Test and Applications (DELTA 2006) - Jan 17-19, 2006 - Kuala Lumpur, Malaysia

Patrick Girard , Adam Osseiran , Moi Tin Chew
500 p., 2006, 0-7695-2500-8. ⟨10.1109/DELTA.2006.89⟩
Ouvrages lirmm-00136923v1

Journal of Low Power Electronics

Patrick Girard
1 (1), pp.95, 2005, 1-58883-042-X
Ouvrages lirmm-00106591v1

Test de Circuits et de Systèmes Intégrés

Florence Azaïs , Serge Bernard , Yves Bertrand , Marie-Lise Flottes , Patrick Girard
Collection EGEM, Ed.Hermès, 2004, 2-7462-0864-4
Ouvrages lirmm-00109158v1
Image document

Cell-Aware Model Generation Using Machine Learning

Pierre D’hondt , Aymen Ladhar , Patrick Girard , Arnaud Virazel
Frontiers of Quality Electronic Design (QED), Springer International Publishing, pp.227-257, 2023, 978-3-031-16344-9. ⟨10.1007/978-3-031-16344-9_6⟩
Chapitre d'ouvrage lirmm-03986553v1
Image document

Defect Diagnosis Techniques for Silicon Customer Returns

Patrick Girard , Alberto Bosio , Aymen Ladhar , Arnaud Virazel
Frontiers of Quality Electronic Design (QED), Springer International Publishing, pp.641-676, 2023, 978-3-031-16344-9. ⟨10.1007/978-3-031-16344-9_17⟩
Chapitre d'ouvrage lirmm-03986615v1
Image document

Test and Reliability of Approximate Hardware

Marcello Traiola , Bastien Deveautour , Alberto Bosio , Patrick Girard , Arnaud Virazel
Approximate Computing, Springer International Publishing, pp.233-266, 2022, ⟨10.1007/978-3-030-98347-5_10⟩
Chapitre d'ouvrage hal-03888016v1
Image document

Design, Verification, Test, and In-Field Implications of Approximate Digital Integrated Circuits

Alberto Bosio , Stefano Di Carlo , Patrick Girard , Annachiara Ruospo , Ernesto Sanchez
Approximate Computing Techniques, Springer International Publishing, pp.349-385, 2022, ⟨10.1007/978-3-030-94705-7_12⟩
Chapitre d'ouvrage hal-03888027v1

Electromigration Alleviation Techniques for 3D Integrated Circuits

Yuanqing Cheng , Aida Todri-Sanial , Alberto Bosio , Luigi Dilillo , Patrick Girard
Chao Wang. High Performance Computing for Big Data: Methodologies and Applications, CRC Press, pp.37-58, 2017, 9781498783996
Chapitre d'ouvrage lirmm-01800220v1

Models for Power-Aware Testing

Patrick Girard , Hans-Joachim Wunderlich
Wunderlich, Hans-Joachim. Models in Hardware Testing - Lecture Notes of the Forum in honor of Christian Landrault, 43, Springer Netherlands, pp.187-215, 2010, Frontiers in Electronic Testing, 978-90-481-3281-2
Chapitre d'ouvrage lirmm-00799927v1
Image document

Low Power Testing

Patrick Girard , Xiaoqing Wen , Nur Touba
Morgan Kaufmann. System-on-Chip Test Architectures: Nanometer Design for Testability, pp.207-350, 2007, 978-0-12-373973-5
Chapitre d'ouvrage lirmm-00326800v1
Image document

Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
VLSI-Soc: From Systems to Silicon, pp.267-281, 2007, 978-0-387-73661-7
Chapitre d'ouvrage lirmm-00194261v1
Image document

Random Adjacent Sequences: An Efficient Solution for Logic BIST

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
SOC Design Methodologies, 90, Kluwer, pp.413-424, 2002, IFIP — The International Federation for Information Processing, 978-1-4757-6530-4. ⟨10.1007/978-0-387-35597-9_35⟩
Chapitre d'ouvrage lirmm-00345802v1

An Advanced Diagnosis Flow using CustomSim for SRAMs

Arnaud Virazel , Tien-Phu Ho , Alberto Bosio , Patrick Girard
2017
Autre publication scientifique lirmm-01718615v1
Image document

Power-Aware Testing and Test Strategies for Low Power Devices

Patrick Girard , Nicola Nicolici , Xiaoqing Wen
2012
Autre publication scientifique lirmm-00820718v1

Rapport Technique de fin d'année, Contrat TOETS CT 302, Programme CEE CATRENE

Patrick Girard , Serge Bernard , Florence Azaïs , Alberto Bosio , Luigi Dilillo
2011
Autre publication scientifique lirmm-00679022v1

Rapport Technique intermédiaire, Contrat TOETS CT 302, Programme CEE CATRENE

Patrick Girard , Serge Bernard , Florence Azaïs , Alberto Bosio , Luigi Dilillo
2011
Autre publication scientifique lirmm-00679018v1

TOETS CT302 - Programme CEE CATRENE - Summary Technical Report 2S-2009 - Rapport Technique de Fin d'année

Patrick Girard , Florence Azaïs , Serge Bernard , Alberto Bosio , Luigi Dilillo
2010
Autre publication scientifique lirmm-00461745v1

Contrat TOETS CT 302 - Programme CEE CATRENE (Rapport Intermédiaire)

Patrick Girard , Serge Bernard , Florence Azaïs , Alberto Bosio , Luigi Dilillo
2010
Autre publication scientifique lirmm-00504873v1

Rapport Technique de fin de Contrat NanoTEST 2A702, Programme CEE MEDEA+

Patrick Girard , Serge Bernard , Alberto Bosio , Luigi Dilillo , Marie-Lise Flottes
2009
Autre publication scientifique lirmm-00406974v1

Contrat NanoTEST 2A702 - Programme CEE MEDEA - Rapport Technique de fin d'année

Patrick Girard , Serge Bernard , Alberto Bosio , Marie-Lise Flottes , Serge Pravossoudovitch
2007
Autre publication scientifique lirmm-00199958v1

Contrat NanoTEST 2A702 - Programme CEE MEDEA - Rapport Technique intermédiaire

Patrick Girard , Serge Bernard , Alberto Bosio , Marie-Lise Flottes , Serge Pravossoudovitch
2007
Autre publication scientifique lirmm-00199966v1

Contrat NanoTEST 2A702 - Programme CEE MEDEA+

Patrick Girard , Serge Bernard , Marie-Lise Flottes , Christian Landrault , Serge Pravossoudovitch
2006
Autre publication scientifique lirmm-00102699v1

Contrat NanoTEST 2A702, Programme CEE MEDEA +

Patrick Girard , Serge Bernard , Marie-Lise Flottes , Christian Landrault , Serge Pravossoudovitch
2006
Autre publication scientifique lirmm-00130759v1

Contrat NanoTEST 2A702, Programme CEE MEDEA+

Patrick Girard , Serge Bernard , Marie-Lise Flottes , Christian Landrault , Serge Pravossoudovitch
2006
Autre publication scientifique lirmm-00130758v1

Advanced Solutions for Innovative SOC Testing in Europe

Patrick Girard , Michel Renovell , Serge Bernard , Marie-Lise Flottes , Serge Pravossoudovitch
2004
Autre publication scientifique lirmm-00109190v1

Contrat CEE MARLOW : Premier Rapport de Management du Projet (PMR1)

Patrick Girard , Nadine Azemard , Daniel Auvergne
2003
Autre publication scientifique lirmm-00269638v1

Advanced Solutions for Innovative SOC Testing in Europe, Contrat CEE ASSOCIATE A503, Programme MEDEA+ (Rapport Technique de Fin d'Année)

Patrick Girard , Michel Renovell , Florence Azaïs , Serge Bernard , Marie-Lise Flottes
2003, pp.P nd
Autre publication scientifique lirmm-00269749v1

Contrat CEE MARLOW : Premier Rapport d'Avancement du Projet (PPR1)

Nadine Azemard , Patrick Girard , Daniel Auvergne
2003
Autre publication scientifique lirmm-00269637v1

Advanced Solutions for Innovative SOC Testing in Europe, Contrat CEE ASSOCIATE A503, Programme MEDEA+ (Rapport Technique Intermédiaire)

Patrick Girard , Michel Renovell , Florence Azaïs , Yves Bertrand , Marie-Lise Flottes
2003, pp.P nd
Autre publication scientifique lirmm-00269720v1

A Central Market Place for Dissemination of Low Power Microelectronics Design Knowledge

Patrick Girard , Nadine Azemard , Daniel Auvergne
2003
Autre publication scientifique lirmm-00259925v1

Advanced Solutions for Innovative SOC Testing in Europe

Patrick Girard , Florence Azaïs , Serge Bernard , Yves Bertrand , Marie-Lise Flottes
2002
Autre publication scientifique lirmm-00268586v1