Accéder directement au contenu

Patrick Girard

38
Documents
Identifiants chercheurs

Présentation

Publications

834811
Image document

Test Solution for Data Retention Faults in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DATE 2013 - 16th Design, Automation and Test in Europe Conference, Mar 2013, Grenoble, France. pp.442-447, ⟨10.7873/DATE.2013.099⟩
Communication dans un congrès lirmm-00805140v1

A Built-in Scheme for Testing and Repairing Voltage Regulators of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
VTS: VLSI Test Symposium, Apr 2013, Berkeley, CA, United States. pp.1-6, ⟨10.1109/VTS.2013.6548894⟩
Communication dans un congrès lirmm-00805366v1

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Communication dans un congrès lirmm-01248603v1

On the Reuse of Read and Write Assist Circuits to Improve Test Efficiency in Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC: International Test conference, Sep 2013, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2013.6651927⟩
Communication dans un congrès lirmm-00818977v1

Analyzing resistive-open defects in SRAM core-cell under the effect of process variability

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2013, Avignon, France. ⟨10.1109/ETS.2013.6569373⟩
Communication dans un congrès lirmm-01921630v1

Adaptive Source Bias for Improved Resistive-Open Defect Coverage during SRAM Testing

Elena Ioana Vatajelu , Alberto Bosio , Patrick Girard , Aida Todri-Sanial , Arnaud Virazel
ATS: Asian Test Symposium, Nov 2013, Jiaosi Township, Taiwan. pp.109-114, ⟨10.1109/ATS.2013.30⟩
Communication dans un congrès lirmm-01248609v1

Low-power SRAMs Power Mode Control Logic: Failure Analysis and Test Solutions

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ITC'2012: International Test Conference, Nov 2012, Anaheim, CA, United States. pp.1-10, ⟨10.1109/TEST.2012.6401578⟩
Communication dans un congrès lirmm-00805143v1

Defect Analysis in Power Mode Control Logic of Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial
ETS: European Test Symposium, May 2012, Annecy, France. ⟨10.1109/ETS.2012.6233033⟩
Communication dans un congrès lirmm-00805374v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
GDR SOC-SIP'11 : Colloque GDR SoC-SiP, Lyon, France
Communication dans un congrès lirmm-00679522v1

Variability Analysis of an SRAM Test Chip

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2011, Trondheim, Norway
Communication dans un congrès lirmm-00651791v1

Optimized March Test Flow for Detecting Memory Faults in SRAM Devices Under Bit Line Coupling

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Netherlands. pp.353-358
Communication dans un congrès lirmm-00592182v1

On Using Address Scrambling to Implement Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ITC'2011: International Test Conference, Sep 2011, Anaheim, CA, United States. pp.N/A
Communication dans un congrès lirmm-00647773v1

Detecting NBTI Induced Failures in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VTS'10: VLSI Test Symposium, Santa Cruz, CA, United States. pp.75-80
Communication dans un congrès lirmm-00553612v1

Failure Analysis and Test Solutions for Low-Power SRAMs

Leonardo B. Zordan , Alberto Bosio , Luigi Dilillo , Patrick Girard , Serge Pravossoudovitch
ATS: Asian Test Symposium, Nov 2011, New Delhi, India. pp.459-460, ⟨10.1109/ATS.2011.97⟩
Communication dans un congrès lirmm-00805123v1

On Using Address Scrambling for Defect Tolerance in SRAMs

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
International test Conference, Sep 2011, Anaheim, CA, United States. pp.1-8, ⟨10.1109/TEST.2011.6139149⟩
Communication dans un congrès lirmm-00805334v1

Impact of Resistive-Bridging Defects in SRAM Core-Cell

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DELTA'10: International Symposium on Electronic Design, Test & Applications, Ho Chi Minh, Vietnam. pp.265-270
Communication dans un congrès lirmm-00553592v1

A Statistical Simulation Method for Reliability Analysis of SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
DAC: Design Automation Conference, Jun 2010, Anaheim, United States. pp.853-856
Communication dans un congrès lirmm-00553619v1

Setting Test Conditions for Detecting Faults Induced by Random Dopant Fluctuation in SRAM Core-Cells

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
VARI: Workshop on CMOS Variability, 2010, Montpellier, France
Communication dans un congrès lirmm-00553626v1
Image document

Setting Test Conditions for Improving SRAM Reliability

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.257-262
Communication dans un congrès lirmm-00492741v1
Image document

Analysis of Resistive-Bridging Defects in SRAM Core-Cells: a Comparative Study from 90nm down to 40nm Technology Nodes

Renan Alves Fonseca , Luigi Dilillo , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
ETS: European Test Symposium, May 2010, Prague, Czech Republic. pp.132-137
Communication dans un congrès lirmm-00493236v1

Minimizing Peak Power Consumption during Scan Testing: Structural Technique for Don't Care Bits Assignment

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
PRIME'06: Conference on Ph.D. Research in Microelectronics and Electronics, Jun 2006, Otranto, Italy, pp.65-68
Communication dans un congrès lirmm-00137614v1
Image document

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Sep 2006, Tunis, Tunisia. pp.359-364
Communication dans un congrès lirmm-00093690v1

Power-Aware Test Data Compression for Embedded IP Core

Nabil Badereddine , Zhanglei Wang , Patrick Girard , Krishnendu Chakrabarty , Arnaud Virazel
ATS 2006 - 15th IEEE Asian Test Symposium, Nov 2006, Fukuoka, Japan. pp.5-10, ⟨10.1109/ATS.2006.66⟩
Communication dans un congrès lirmm-00116832v1
Image document

Technique Structurelle d'Affectation des Bits Non Spécifiés en Vue d'une Réduction de la Puissance de Pic Pendant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Communication dans un congrès lirmm-00136838v1
Image document

Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
VLSI-SOC'06: 14th IFIP WG 10.5 International Conference on Very Large Scale Integration and System-on-Chip, Oct 2006, Nice (France), pp.403-408
Communication dans un congrès lirmm-00108141v1

Power-Aware Scan Testing for Peak Power Reduction

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
VLSI-SOC'05: IFIP International Conference on Very Large Scale Integration, Oct 2005, Perth, Australia. pp.441-446
Communication dans un congrès lirmm-00106112v1

Analyse et Réduction de la Puissance de Pic durant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
JNRDM 2005 - 8e Journées Nationales du Réseau Doctoral de Microélectronique, May 2005, Paris, France
Communication dans un congrès lirmm-00106528v1

Peak Power Consumption During Scan Testing: Issue, Analysis and Heuristic Solution

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DDECS'05: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Apr 2005, Sopron, Hungary. pp.151-159
Communication dans un congrès lirmm-00105990v1
Image document

Controlling Peak Power Consumption During Scan Testing: Power-Aware DfT and Test Set Perspectives

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2005, Leuven, Belgium. pp.540-549, ⟨10.1007/11556930_55⟩
Communication dans un congrès lirmm-00106111v1