Accéder directement au contenu

Olivier Sentieys

309
Documents

Présentation

Olivier Sentieys is a Professor at the University of Rennes holding the Inria Research Chair on Energy-Efficient Computing Systems. He is leading the Cairn team common to Inria (French research institute dedicated to computational sciences) and IRISA Laboratory. He was the head of the “Computer Architecture” department of IRISA until Sep. 2019. From 2012 to 2017 he was on secondment at INRIA as a Senior Research Director. His research interests are in the area of computer architectures, embedded systems and signal processing, with a focus on system-level design, energy-efficiency, reconfigurable systems, hardware acceleration, approximate computing, fault tolerance, and power management of energy harvesting sensor networks. He authored or co-authored more than 250 journal or conference papers, holds 6 patents, and served in the technical committees of several international IEEE/ACM/IFIP conferences, among which DATE, ICCAD, FPL, ENSSys, VLSI-SoC, VTC, ISCAS, ISQED.

Publications

Image document

Impact of High-Level-Synthesis on Reliability of Artificial Neural Network Hardware Accelerators

Marcello Traiola , Fernando Fernandes dos Santos , Paolo Rech , Carlo Cazzaniga , Olivier Sentieys
IEEE Transactions on Nuclear Science, 2024, pp.1-9. ⟨10.1109/TNS.2024.3377596⟩
Article dans une revue hal-04514579v1
Image document

Lossless Neural Network Model Compression Through Exponent Sharing

Prachi Kashikar , Olivier Sentieys , Sharad Sinha
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2023, 31, pp.1816 - 1825. ⟨10.1109/tvlsi.2023.3307607⟩
Article dans une revue hal-04397024v1
Image document

Characterizing a Neutron-Induced Fault Model for Deep Neural Networks

Fernando Fernandes dos Santos , Angeliki Kritikakou , Josie Esteban Rodriguez Condia , Juan David Guerrero Balaguera , Matteo Sonza Reorda
IEEE Transactions on Nuclear Science, In press
Article dans une revue hal-03865253v2
Image document

Dynamic fault-tolerant VLIW processor with heterogeneous Function Units

Rafail Psiakis , Angeliki Kritikakou , Olivier Sentieys
Microprocessors and Microsystems: Embedded Hardware Design , 2022, 93, pp.104564. ⟨10.1016/j.micpro.2022.104564⟩
Article dans une revue hal-03885490v1
Image document

Approximation-aware Task Deployment on Heterogeneous Multi-core Platforms with DVFS

Xinmei Li , Lei Mo , Angeliki Kritikakou , Olivier Sentieys
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2022, pp.1-15. ⟨10.1109/TCAD.2022.3222293⟩
Article dans une revue hal-03854671v1
Image document

Freezer: A Specialized NVM Backup Controller for Intermittently-Powered Systems

Davide Pala , Ivan Miro-Panades , Olivier Sentieys
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40 (8), pp.1559-1572. ⟨10.1109/TCAD.2020.3025063⟩
Article dans une revue hal-03119369v1
Image document

Real-time Imprecise Computation Tasks Mapping for DVFS-Enabled Networked Systems

Lei Mo , Angeliki Kritikakou , Olivier Sentieys , Xianghui Cao
IEEE Internet of Things Journal, 2021, 8 (10), pp.8246-8258. ⟨10.1109/JIOT.2020.3044910⟩
Article dans une revue hal-03103821v1
Image document

Energy-driven design space exploration of tiling-based accelerators for heterogeneous multiprocessor architectures

Baptiste Roux , Matthieu Gautier , Olivier Sentieys , Jean-Philippe Delahaye
Microprocessors and Microsystems: Embedded Hardware Design , 2020, 77, pp.1-12. ⟨10.1016/j.micpro.2020.103138⟩
Article dans une revue hal-02747622v1
Image document

Binary Tree Classification of Rigid Error Detection and Correction Techniques

Angeliki Kritikakou , Rafail Psiakis , Francky Catthoor , Olivier Sentieys
ACM Computing Surveys, 2020, 53 (4), pp.1-38. ⟨10.1145/3397268⟩
Article dans une revue hal-02927439v1
Image document

New metric for IQ imbalance compensation in optical QPSK coherent systems

Trung Hien Nguyen , Pascal Scalart , Mathilde Gay , Laurent Bramerie , Christophe Peucheret
Photonic Network Communications, 2018, 36 (3), pp.326-337. ⟨10.1007/s11107-018-0783-7⟩
Article dans une revue hal-01941892v1
Image document

Offline Optimization of Wavelength Allocation and Laser Power in Nanophotonic Interconnects

Jiating Luo , Cédric Killian , Sébastien Le Beux , Daniel Chillet , Olivier Sentieys
ACM Journal on Emerging Technologies in Computing Systems, 2018, 14 (2), pp.1 - 19. ⟨10.1145/3178453⟩
Article dans une revue hal-01934870v1
Image document

Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2018, pp.1 - 10. ⟨10.1109/TCAD.2018.2857300⟩
Article dans une revue hal-01843918v1
Image document

Controllable QoS for Imprecise Computation Tasks on DVFS Multicores with Time and Energy Constraints

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2018, 8 (4), pp.708-721. ⟨10.1109/JETCAS.2018.2852005⟩
Article dans une revue hal-01831297v1

Impact of ADC parameters on linear optical sampling systems

Trung Hien Nguyen , Mathilde Gay , Fausto Gomez Agis , Sébastien Lobo , Olivier Sentieys
Optics Communications, 2017, 402, pp.362-367. ⟨10.1016/j.optcom.2017.06.013⟩
Article dans une revue hal-01576164v1
Image document

Blind transmitter IQ imbalance compensation in M-QAM optical coherent systems

Trung Hien Nguyen , Pascal Scalart , Mathilde Gay , Laurent Bramerie , Olivier Sentieys
Journal of Optical Communications and Networking, 2017, Special Issue on IEEE ICC 2016 ONS Symposium and OWC Workshop, 9 (9), pp.D42-D50. ⟨10.1364/JOCN.9.000D42⟩
Article dans une revue hal-01573632v1
Image document

UTBB FDSOI suitability for IoT applications: Investigations at device, design and architectural levels

Florent Berthier , Edith Beigné , Frédéric Heitzmann , Olivier Debicki , Jean-Frédéric Christmann
Solid-State Electronics, 2016, 125, pp.14 - 24. ⟨10.1016/j.sse.2016.09.003⟩
Article dans une revue hal-01423144v1

A Heuristic Self-Adaptive Medium Access Control for Resource-Constrained WBAN Systems

Muhammad Mahtab Alam , Elyes Ben Hamida , Olivier Berder , Olivier Sentieys , Daniel Menard
IEEE Access, 2016, 4, pp.1287-1300
Article dans une revue hal-01396104v1
Image document

Power Modeling and Exploration of Dynamic and Partially Reconfigurable Systems

Robin Bonamy , Sébastien Bilavarn , Daniel Chillet , Olivier Sentieys
Journal of Low Power Electronics, 2016, 12 (3), pp.172-185. ⟨10.1166/jolpe.2016.1448⟩
Article dans une revue hal-01345664v1

Energy-Efficient Power Manager and MAC Protocol for Multi-Hop Wireless Sensor Networks Powered by Periodic Energy Harvesting Sources

Trong-Nhan Le , Alain Pegatoquet , Olivier Berder , Olivier Sentieys
IEEE Sensors Journal, 2015, 15 (2), pp.7208-7220. ⟨10.1109/JSEN.2015.2472566⟩
Article dans une revue hal-01197045v1

Energy Neutral Design Framework for Supercapacitor-based Autonomous Wireless Sensor Networks

Trong Nhan Le , Alain Pegatoquet , Olivier Berder , Olivier Sentieys , Arnaud Carer
ACM Journal on Emerging Technologies in Computing Systems, 2015, 12 (2), pp.1--21 Article 19. ⟨10.1145/2787512⟩
Article dans une revue hal-01069098v1
Image document

Phase-Preserving Power Limiting Function Using InP on SOI Photonic Crystal Nanocavity

Trung Hien Nguyen , Kevin Lenglé , Alexandre Bazin , Laurent Bramerie , Christophe Peucheret
IEEE Photonics Technology Letters, 2014, 26 (12), pp.1215 - 1218. ⟨10.1109/LPT.2014.2319248⟩
Article dans une revue hal-01152024v1

Design of the coarse-grained reconfigurable architecture DART with on-line error detection

Syed M. A. H. Jafri , Stanislaw J. Piestrak , Olivier Sentieys , Sébastien Pillement
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (2), pp.124-136. ⟨10.1016/j.micpro.2013.12.004⟩
Article dans une revue hal-00927376v1
Image document

Accelerated Performance Evaluation of Fixed-Point Systems With Un-Smooth Operations

Karthick Nagaraj Parashar , Daniel Menard , Olivier Sentieys
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2014, 33 (4), pp.599-612. ⟨10.1109/TCAD.2013.2292510⟩
Article dans une revue hal-01097606v1
Image document

Power Consumption Models for the Use of Dynamic and Partial Reconfiguration

Robin Bonamy , Sebastien Bilavarn , Daniel Chillet , Olivier Sentieys
Microprocessors and Microsystems: Embedded Hardware Design , 2014, 38 (8), pp.860-872. ⟨10.1016/j.micpro.2014.01.002⟩
Article dans une revue hal-00941532v1

A Frame-Based Domain-Specific Language for Rapid Prototyping of FPGA-Based Software Defined Radios

Ganda Stephane Ouedraogo , Matthieu Gautier , Olivier Sentieys
EURASIP Journal on Advances in Signal Processing, 2014, pp.13. ⟨10.1186/1687-6180-2014-164⟩
Article dans une revue hal-01084788v1

Évaluation de la précision en virgule fixe dans le cas des structures conditionnelles

Jean Charles Naud , Daniel Menard , Olivier Sentieys
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2013, 32 (2), pp.179-201
Article dans une revue hal-00743415v1

Compiling Scilab to high performance embedded multicore systems

Timo Stripf , Oliver Oey , Thomas Bruckschloegla , Juergen Becker , Gerard Rauwerda
Microprocessors and Microsystems: Embedded Hardware Design , 2013, Special Issue on European Projects in Embedded System Design: EPESD2012, 37 (8), pp.1033-1049. ⟨10.1016/j.micpro.2013.07.004⟩
Article dans une revue hal-00921437v1
Image document

On the performance of distributed space-time coded cooperative relay networks based on inter-relay communications

Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
EURASIP Journal on Wireless Communications and Networking, 2013, 2013 (1), pp.239
Article dans une revue hal-00903327v1
Image document

A single sediment-Microbial Fuel Cell powering a wireless telecommunication system

Yohann R J Thomas , Matthieu Picot , Arnaud Carer , Olivier Berder , Olivier Sentieys
Journal of Power Sources, 2013, 241, pp.703-708. ⟨10.1016/j.jpowsour.2013.05.016⟩
Article dans une revue hal-00832354v2
Image document

Impact of Sampling-Source Extinction Ratio in Linear Optical Sampling

Trung Hien Nguyen , Fausto Gomez Agis , Laurent Bramerie , Mathilde Gay , Jean-Claude Simon
IEEE Photonics Technology Letters, 2013, 27 (7), pp.663-666. ⟨10.1109/LPT.2013.2248353⟩
Article dans une revue hal-00931661v1

System-Level Synthesis for Wireless Sensor Node Controllers: A Complete Design Flow

Adeel Pasha , Steven Derrien , Olivier Sentieys
ACM Transactions on Design Automation of Electronic Systems, 2012, 17 (1), pp.2:1--2:24. ⟨10.1145/2071356.2071358⟩
Article dans une revue hal-00752652v1

A Discrete Model for Correlation Between Quantization Noises

Jean Charles Naud , Daniel Menard , Gabriel Caffarena , Olivier Sentieys
IEEE Transactions on Circuits and Systems Part 2 Analog and Digital Signal Processing, 2012
Article dans une revue hal-00743413v1

Energy-Delay Tradeoff in Wireless Multihop Networks with Unreliable Links

R. Zhang , Olivier Berder , J.M. Gorce , Olivier Sentieys
Ad Hoc Networks, 2012, 10 (7), pp.1306 -1321, x-editorial-board = yes, x-international-audience = yes
Article dans une revue hal-00741560v1

TAD-MAC: Traffic-Aware Dynamic MAC Protocol for Wireless Body Area Sensor Networks

M.M. Alam , Olivier Berder , D. Menard , Olivier Sentieys
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2012, 2 (1), pp.109 -119. ⟨10.1109/JETCAS.2012.2187243⟩
Article dans une revue hal-00741556v1

High-level synthesis under fixed-point accuracy constraint

Daniel Menard , Nicolas Herve , Olivier Sentieys , Nguyen Hai Nam
Journal of Electrical and Computer Engineering, 2012, ⟨10.1155/2012/906350⟩
Article dans une revue hal-00742144v1
Image document

Analytical Approach for Numerical Accuracy Estimation of Fixed-Point Systems Based on Smooth Operations

Romuald Rocher , Daniel Ménard , Olivier Sentieys , Pascal Scalart
IEEE Transactions on Circuits and Systems Part 1 Fundamental Theory and Applications, 2012, 59 (10), pp.2326 - 2339. ⟨10.1109/TCSI.2012.2188938⟩
Article dans une revue hal-00741741v1

Quantization of VLSI digital signal processing systems

Gabriel Caffarena , Olivier Sentieys , Daniel Menard , Juan Antonio Lopez , David Novo
EURASIP Journal on Advances in Signal Processing, 2012, 2012, pp.1-2
Article dans une revue hal-00743410v1

A Polynomial Based Approach to Wakeup Time and Energy Estimation in Power-Gated Logic Clusters

Vivek D. Tovinakere , Olivier Sentieys , Steven Derrien
Journal of Low Power Electronics, 2011, 7 (4), pp.482-489. ⟨10.1166/jolpe.2011.1159⟩
Article dans une revue hal-00747721v1

Lower Bound of Energy-Latency Trade-off of Opportunistic Routing in Multi-hop Networks

Ruifeng Zhang , Jean-Marie Gorce , Olivier Berder , Olivier Sentieys
EURASIP Journal on Wireless Communications and Networking, 2011, 2011 (Article ID 265083), pp.17. ⟨10.1155/2011/265083⟩
Article dans une revue inria-00554185v1
Image document

Energy-Efficient Cooperative Techniques for Infrastructure-to-Vehicle Communications

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys
IEEE Transactions on Intelligent Transportation Systems, 2011, 12 (3), pp.659 -668. ⟨10.1109/TITS.2011.2118754⟩
Article dans une revue hal-00741553v1

Real-time scheduling on heterogeneous system-on-chip architectures using an optimised artificial neural network

Daniel Chillet , Antoine Eiche , Sébastien Pillement , Olivier Sentieys
Journal of Systems Architecture, 2011, 57, pp.340-353. ⟨10.1016/j.sysarc.2011.01.004⟩
Article dans une revue hal-00650650v1

A Hybrid Model for Accurate Energy Analysis of WSN nodes

Muhammad Mahtab Alam , Olivier Berder , Daniel Menard , Thomas Anger , Olivier Sentieys
EURASIP Journal on Embedded Systems, 2011
Article dans une revue inria-00554188v1

Spatio-temporal Coding to Improve Speed and Noise Tolerance of On-chip Interconnect

Sébastien Pillement , Jm. Philippe , Olivier Sentieys
Microelectronics Journal, 2010, ⟨10.1016/j.mejo.2009.11.001⟩
Article dans une revue inria-00438322v1

On designing Efficient Codecs for Bus-Invert Berger Code for Fully Asymmetric Communication

Stanislaw Piestrak , Sébastien Pillement , Olivier Sentieys
IEEE Transactions on Circuits and Systems II: Express Briefs, 2010, 57 (10)
Article dans une revue inria-00480561v1

ACCURACY EVALUATION OF FIXED-POINT BASED LMS ALGORITHM

Romuald Rocher , Daniel Ménard , Olivier Sentieys , Pascal Scalart
Digital Signal Processing, 2010
Article dans une revue inria-00450935v1

Spatial Switching data coding technique analysis and improvements for interconnect power consumption optimization

Antoine Courtay , Johann Laurent , Olivier Sentieys
Journal of Low Power Electronics, 2010, 6 (1 (2010))
Article dans une revue hal-00453374v1

Comments on "A Low-Power Dependable Berger Code for Fully Asymmetric Communication"

Stanislaw Piestrak , Sébastien Pillement , Olivier Sentieys
IEEE Communications Letters, 2010, 14 (8)
Article dans une revue inria-00480542v1
Image document

Efficient and Flexible Dynamic Reconfiguration for Multi-Context Architectures

Julien Lallet , Sébastien Pillement , Olivier Sentieys
Journal of Integrated Circuits and Systems, 2009, 4 (1), pp.36-44
Article dans une revue inria-00446054v1

Ordonnancement de tâches par réseaux de neurones pour architectures de SoC hétérogènes

Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Traitement du Signal, 2009, 26 (1), pp.77-89
Article dans une revue inria-00430944v1

{DART: A Functional-Level Reconfigurable Architecture for High Energy Efficiency}

Sébastien Pillement , Olivier Sentieys , R. David
EURASIP Journal on Embedded Systems, 2008, ⟨10.1155/2008/562326⟩
Article dans une revue inria-00446682v1

High-Level Interconnect Delay and Power Estimation

Antoine Courtay , Olivier Sentieys , Johann Laurent , Nathalie Julien
Journal of Low Power Electronics, 2008, 4 (1), pp.1-13. ⟨10.1166/jolpe.2008.152⟩
Article dans une revue hal-00267248v1

Structure mémoire reconfigurable : vers une structure de stockage faible consommation

Daniel Chillet , Raphael David , Erwan Grace , Olivier Sentieys
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2008, 27 (1), pp.183-204
Article dans une revue inria-00450263v1

Analytical Fixed-Point Accuracy Evaluation in Linear Time-Invariant Systems

Daniel Menard , Olivier Sentieys
IEEE Transactions on Circuits and Systems I: Regular Papers, 2008, 55 (10), pp.3197 -3208. ⟨10.1109/TCSI.2008.923279⟩
Article dans une revue inria-00459231v1

Accuracy Constraint Determination in Fixed-Point System Design

Daniel Menard , Romain Serizel , Romuald Rocher , Olivier Sentieys
EURASIP Journal on Embedded Systems, 2008, 2008 (1), ⟨10.1155/2008/242584⟩
Article dans une revue inria-00459254v1

Fixed-Point Configurable Hardware Components

Romuald Rocher , Daniel Ménard , Nicolas Hervé , Olivier Sentieys
EURASIP Journal on Embedded Systems, 2006
Article dans une revue inria-00455557v1

Floating-to-fixed-point Conversion for Digital Signal Processors

Daniel Menard , Daniel Chillet , Olivier Sentieys
Eurasip Journal on Applied Signal Processing, 2006, 2006 (1), pp.1-15. ⟨10.1155/ASP/2006/96421⟩
Article dans une revue inria-00459212v1

A Framework for High Level Estimations of Signal Processing VLSI Implementations

Jean-Philippe Diguet , Olivier Sentieys , Daniel Chillet
Journal of VLSI Signal Processing Systems for Signal, Image, and Video Technology, 2000, Vol.25 No.3, pp.261 - 284. ⟨10.1023/A:1008191708726⟩
Article dans une revue hal-00089488v1
Image document

Cross-Layer Reliability Evaluation and Efficient Hardening of Large Vision Transformers Models

Lucas Roquet , Fernando Fernandes dos Santos , Paolo Rech , Marcello Traiola , Olivier Sentieys
Design Automation Conference (DAC), Jun 2024, San Fracisco, United States
Communication dans un congrès hal-04456702v1
Image document

A Stochastic Rounding-Enabled Low-Precision Floating-Point MAC for DNN Training

Sami Ben Ali , Silviu-Ioan Filip , Olivier Sentieys
DATE 2024 - 27th IEEE/ACM Design, Automation and Test in Europe, Mar 2024, Valencia, Spain. pp.1-6
Communication dans un congrès hal-04380270v1
Image document

Characterizing and Modeling Synchronous Clock-Glitch Fault Injection

Amélie Marotta , Ronan Lashermes , Guillaume Bouffard , Olivier Sentieys , Rachid Dafali
Constructive Side-Channel Analysis and Secure Design, Apr 2024, Gardanne, France. pp.3-21, ⟨10.1007/978-3-031-57543-3_1⟩
Communication dans un congrès hal-04549548v1
Image document

On-board Payload Data Processing Combined with the Roofline Model for Hardware/Software Design

Seungah LEE , Emmanuel Casseau , Angeliki Kritikakou , Olivier Sentieys , Ruben Salvador
AeroConf 2024 - IEEE Aerospace Conference, Mar 2024, Big Sky, Montana, United States. pp.1-15
Communication dans un congrès hal-04423185v1
Image document

harDNNing: a machine-learning-based framework for fault tolerance assessment and protection of DNNs

Marcello Traiola , Angeliki Kritikakou , Olivier Sentieys
ETS 2023 - IEEE European Test Symposium, May 2023, Venise, Italy. pp.1-6
Communication dans un congrès hal-04087375v1
Image document

Reliability evaluation of Convolutional Neural Network's basic operations on a RISC-V processor

Fernando Fernandes dos Santos , Angeliki Kritikakou , Olivier Sentieys
NSREC 2023 - IEEE Nuclear & Space Radiation Effects Conference, IEEE Nuclear and Plasma Sciences Society (NPSS), Jul 2023, Kansas City, MO, United States. pp.1-6
Communication dans un congrès hal-04047058v1
Image document

High-Level Synthesis-Based On-board Payload Data Processing considering the Roofline Model

Seungah Lee , Ruben Salvador , Angeliki Kritikakou , Olivier Sentieys , Julien Galizzi
EDHPC 2023 - European Data Handling & Data Processing Conference, European Space Agency (ESA), Oct 2023, Juan-Les-Pins, France. pp.1-10
Communication dans un congrès hal-04294305v1
Image document

Low-Precision Floating-Point for Efficient On-Board Deep Neural Network Processing

Cédric Gernigon , Silviu-Ioan Filip , Olivier Sentieys , Clément Coggiola , Mickaël Bruno
European Data Handling & Data Processing Conference (EDHPC), European Space Agency (ESA), Oct 2023, Juan-Les-Pins, France. pp.1-8, ⟨10.23919/EDHPC59100.2023.10396014⟩
Communication dans un congrès hal-04252197v1
Image document

When Side-Channel Attacks Break the Black-Box Property of Embedded Artificial Intelligence

Benoît Coqueret , Mathieu Carbone , Olivier Sentieys , Gabriel Zaid
AISec 2023 - 16th ACM Workshop on Artificial Intelligence and Security, Nov 2023, Copenhagen, Denmark. pp.127-138, ⟨10.1145/3605764.3623903⟩
Communication dans un congrès hal-04320434v1
Image document

A machine-learning-guided framework for fault-tolerant DNNs

Marcello Traiola , Angeliki Kritikakou , Olivier Sentieys
DATE 2023 – 26th IEEE/ACM Design, Automation and Test in Europe, Apr 2023, Antwerp, Belgium. pp.1-2
Communication dans un congrès hal-03887681v1
Image document

Design with low complexity fine-grained Dual Core Lock-Step (DCLS) RISC-V processors

Pegdwende Romaric Nikiema , Angeliki Kritikakou , Marcello Traiola , Olivier Sentieys , Olivier Sentieys
DSN 2023 - 53rd Annual IEEE/IFIP International Conference on Dependable Systems and Networks, Jun 2023, Porto, Portugal. pp.224-229, ⟨10.1109/DSN-S58398.2023.00062⟩
Communication dans un congrès hal-04397673v1
Image document

Impact of High-Level-Synthesis on Reliability of Neural Network Hardware Accelerators

Marcello Traiola , Fernando Fernandes Dos Santos , Olivier Sentieys , Angeliki Kritikakou
NSREC 2023 - IEEE Nuclear & Space Radiation Effects Conference, Jul 2023, Kansas City (US), United States. pp.1-5
Communication dans un congrès hal-04113282v2
Image document

Impact of Transient Faults on Timing Behavior and Mitigation with Near-Zero WCET Overhead

Pegdwende Romaric Nikiema , Angeliki Kritikakou , Marcello Traiola , Olivier Sentieys
ECRTS 2023 - 35th Euromicro Conference on Real-Time Systems, Jul 2023, Vienna, Austria. pp.1-22, ⟨10.4230/LIPIcs.ECRTS.2023.15⟩
Communication dans un congrès hal-04397374v1
Image document

Maximizing Computing Accuracy on Resource-Constrained Architectures

Van-Phu Ha , Olivier Sentieys
DATE 2023 - 26th IEEE/ACM Design, Automation and Test in Europe, Apr 2023, Antwerp, Belgium. pp.1-6
Communication dans un congrès hal-03885240v1
Image document

Evaluating the Impact of Mixed-Precision on Fault Propagation for Deep Neural Networks on GPUs

Fernando Fernandes dos Santos , Paolo Rech , Angeliki Kritikakou , Olivier Sentieys
ISVLSI 2022 - IEEE Computer Society Annual Symposium on VLSI, Jul 2022, Nicosia, Italy. pp.327-327, ⟨10.1109/ISVLSI54635.2022.00071⟩
Communication dans un congrès hal-03903347v1
Image document

Functional and Timing Implications of Transient Faults in Critical Systems

Angeliki Kritikakou , Panagiota Nikolaou , Ivan Rodriguez-Ferrandez , Joseph Paturel , Leonidas Kosmidis
IOLTS 2022 – 28th IEEE International Symposium on OnLine Testing and Robust System Design, Sep 2022, Torino, Italy. pp.1-10
Communication dans un congrès hal-03923506v1
Image document

Characterizing Deep Neural Networks Neutrons-Induced Error Model

Fernando Fernandes dos Santos , Angeliki Kritikakou , Olivier Sentieys , Paolo Rech
NSREC 2022 - IEEE Nuclear & Space Radiation Effects Conference, Jul 2022, Provo, United States. pp.1-5
Communication dans un congrès hal-03652138v1
Image document

Mixing Low-Precision Formats in Multiply-Accumulate Units for DNN Training

Mariko Tatsumi , Silviu-Ioan Filip , Caroline White , Olivier Sentieys , Guy Lemieux
FPT 2022 - IEEE International Conference on Field Programmable Technology, Dec 2022, Hong Kong, Hong Kong SAR China. pp.1-9
Communication dans un congrès hal-03885471v1
Image document

Disentangled Loss for Low-Bit Quantization-Aware Training

Thibault Allenet , David Briand , Olivier Bichler , Olivier Sentieys
CVPR 2022 - IEEE / CVF Computer Vision and Pattern Recognition Conference, Jun 2022, New Orleans, United States. pp.2788-2792, ⟨10.1109/CVPRW56347.2022.00315⟩
Communication dans un congrès cea-03776535v1
Image document

Experimental evaluation of neutron-induced errors on a multicore RISC-V platform

Fernando Fernandes dos Santos , Angeliki Kritikakou , Olivier Sentieys
IOLTS 2022 - 28th IEEE International Symposium on OnLine Testing and Robust System Design, Sep 2022, Torino, Italy. pp.1-7
Communication dans un congrès hal-03697265v1
Image document

FLODAM: Cross-Layer Reliability Analysis Flow for Complex Hardware Designs

Angeliki Kritikakou , Olivier Sentieys , Guillaume Hubert , Youri Helen , Jean-Francois Coulon
DATE 2022 - 25th IEEE/ACM Design, Automation and Test in Europe, Mar 2022, Antwerp, Belgium. pp.1-6
Communication dans un congrès hal-03485386v1

MPTorch and MPArchimedes: Open Source Frameworks to Explore Custom Mixed- Precision Operations for DNN Training on Edge Devices

Mariko Tatsumi , Yuxiang Xie , Caroline White , Silviu-Ioan Filip , Olivier Sentieys
ROAD4NN 2021 - 2nd ROAD4NN Workshop: Research Open Automatic Design for Neural Networks, Dec 2021, San Francisco, United States
Communication dans un congrès hal-03494256v1
Image document

Approximate Computing for DNN

Olivier Sentieys
CSW 2021 - HiPEAC Computing Systems Week, Oct 2021, Lyon, France
Communication dans un congrès hal-03494932v1
Image document

AdequateDL: Approximating Deep Learning Accelerators

Olivier Sentieys , Silviu-Ioan Filip , David Briand , David Novo , Etienne Dupuis
DDECS 2021 - 24th International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2021, Vienna (virtual), Austria. pp.37-40, ⟨10.1109/DDECS52668.2021.9417026⟩
Communication dans un congrès hal-03266861v1
Image document

Leveraging Bayesian Optimization to Speed Up Automatic Precision Tuning

Van-Phu Ha , Olivier Sentieys
DATE 2021 - 24th IEEE/ACM Design, Automation and Test in Europe, Feb 2021, Virtual Event, France. pp.1-6
Communication dans un congrès hal-03119548v1
Image document

Fast Cross-Layer Vulnerability Analysis of Complex Hardware Designs

Joseph Paturel , Angeliki Kritikakou , Olivier Sentieys
ISVLSI 2020 - IEEE Computer Society Annual Symposium on VLSI, Jul 2020, Limassol, Cyprus. pp.328-333, ⟨10.1109/ISVLSI49217.2020.00067⟩
Communication dans un congrès hal-02927455v1
Image document

Towards Generic and Scalable Word-Length Optimization

Van-Phu Ha , Tomofumi Yuki , Olivier Sentieys
DATE 2020 - 23rd IEEE/ACM Design, Automation and Test in Europe, Mar 2020, Grenoble, France. pp.1-6
Communication dans un congrès hal-02387232v1
Image document

Improving NILM by Combining Sensor Data and Linear Programming

Nicolas Roux , Baptiste Vrigneau , Olivier Sentieys
SAS 2019 - IEEE Sensors Applications Symposium, Mar 2019, Sophia Antipolis, France. pp.1-6, ⟨10.1109/SAS.2019.8706021⟩
Communication dans un congrès hal-02394920v1
Image document

Noise Budgeting in Multiple-Kernel Word-Length Optimization

Van-Phu Ha , Tomofumi Yuki , Olivier Sentieys
AxC 2019 - 4th Workshop on Approximate Computing, Mar 2019, Florence, Italy. pp.1-3
Communication dans un congrès hal-02183936v1
Image document

Multi-Carrier Spread-Spectrum Transceiver for WiNoC

Joel Ortiz Sosa , Olivier Sentieys , Christian Roland , Cédric Killian
NOCS 2019 - 13th IEEE/ACM International Symposium on Networks-on-Chip, Oct 2019, New York, United States. pp.1-2, ⟨10.1145/3313231.3352373⟩
Communication dans un congrès hal-02394890v1
Image document

Error Analysis of the Square Root Operation for the Purpose of Precision Tuning: a Case Study on K-means

Oumaima Matoussi , Yves Durand , Olivier Sentieys , Anca Molnos
ASAP 2019 - 30th IEEE International Conference on Application-specific Systems, Architectures and Processors, Jul 2019, New York, United States. pp.1-8
Communication dans un congrès hal-02183945v1
Image document

Run-time Coarse-Grained Hardware Mitigation for Multiple Faults on VLIW Processors

Rafail Psiakis , Angeliki Kritikakou , Olivier Sentieys , Emmanuel Casseau
DASIP 2019 - Conference on Design and Architectures for Signal and Image Processing, Oct 2019, Montréal, Canada. pp.1-6
Communication dans un congrès hal-02344282v1
Image document

What You Simulate Is What You Synthesize: Design of a RISC-V Core from C++ Specifications

Simon Rokicki , Davide Pala , Joseph Paturel , Olivier Sentieys
RISC-V Workshop 2019, Jun 2019, Zurich, Switzerland. pp.1-2
Communication dans un congrès hal-02394911v1
Image document

Exploration architecturale d'accélérateur pour des architectures multi-coeurs hétérogènes

Baptiste Roux , Matthieu Gautier , Olivier Sentieys
27ème colloque du Groupement de Recherche en Traitement du Signal et des Images, Aug 2019, Lille, France
Communication dans un congrès hal-02406976v1

Playing with number for Energy Efficiency, Introduction to Approximate Computing

Olivier Sentieys
INC 2019 - IEEE International Nanodevices and Computing, Apr 2019, Grenoble, France
Communication dans un congrès hal-02183527v1
Image document

Adaptive Transceiver for Wireless NoC to Enhance Multicast/Unicast Communication Scenarios

Joel Ortiz Sosa , Olivier Sentieys , Christian Roland
ISVLSI 2019 - IEEE Computer Society Annual Symposium on VLSI, Jul 2019, Miami, United States. pp.1-6, ⟨10.1109/ISVLSI.2019.00111⟩
Communication dans un congrès hal-02394902v1
Image document

What You Simulate Is What You Synthesize: Designing a Processor Core from C++ Specifications

Simon Rokicki , Davide Pala , Joseph Paturel , Olivier Sentieys
ICCAD 2019 - 38th IEEE/ACM International Conference on Computer-Aided Design, Nov 2019, Westminster, CO, United States. pp.1-8
Communication dans un congrès hal-02303453v1
Image document

Accelerating Itemset Sampling using Satisfiability Constraints on FPGA

Mael Gueguen , Olivier Sentieys , Alexandre Termier
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1046-1051, ⟨10.23919/DATE.2019.8714932⟩
Communication dans un congrès hal-01941862v1
Image document

Fine-Grained Hardware Mitigation for Multiple Long-Duration Transients on VLIW Function Units

Rafail Psiakis , Angeliki Kritikakou , Olivier Sentieys
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.976-979, ⟨10.23919/DATE.2019.8714899⟩
Communication dans un congrès hal-01941860v1
Image document

Approximation-aware Task Deployment on Asymmetric Multicore Processors

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
DATE 2019 - 22nd IEEE/ACM Design, Automation and Test in Europe, Mar 2019, Florence, Italy. pp.1513-1518, ⟨10.23919/DATE.2019.8715077⟩
Communication dans un congrès hal-01940358v1
Image document

Run-Time management of energy-performance trade-off in Optical Network-on-Chip

Jiating Luo , Van-Dung Pham , Cédric Killian , Daniel Chillet , Ian O'Connor
DCIS 2018 - XXXIII Conference on Design of Circuits and Integrated Systems, Nov 2018, Lyon, France. pp.1-6, ⟨10.1109/DCIS.2018.8681477⟩
Communication dans un congrès hal-01937350v1
Image document

Energy-Quality-Time Optimized Task Mapping on DVFS-enabled Multicores

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
ESWEEK 2018 - Embedded Systems Week, Sep 2018, Torino, Italy. pp.1-11
Communication dans un congrès hal-01941764v1
Image document

A Diversity Scheme to Enhance the Reliability of Wireless NoC in Multipath Channel Environment

Joel Ortiz Sosa , Olivier Sentieys , Christian Roland
Twelfth IEEE/ACM International Symposium on Networks-on-Chip (NOCS), Oct 2018, Torino, Italy. pp.1-8, ⟨10.1109/NOCS.2018.8512165⟩
Communication dans un congrès hal-01941761v1
Image document

Zyggie: A Wireless Body Area Network platform for indoor positioning and motion tracking

Antoine Courtay , Mickaël Le Gentil , Olivier Berder , Arnaud Carer , Pascal Scalart
ISCAS 2018 - IEEE International Symposium on Circuits and Systems, May 2018, Florence, Italy. pp.1-5, ⟨10.1109/ISCAS.2018.8351278⟩
Communication dans un congrès hal-01804927v1
Image document

Implications of Reduced-Precision Computations in HPC: Performance, Energy and Error

Stefano Cherubin , Giovanni Agosta , Imane Lasri , Erven Rohou , Olivier Sentieys
International Conference on Parallel Computing (ParCo), Sep 2017, Bologna, Italy
Communication dans un congrès hal-01633790v1
Image document

Pushing the Limits of Voltage Over-Scaling for Error-Resilient Applications

Rengarajan Ragavan , Benjamin Barrois , Cedric Killian , Olivier Sentieys
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Communication dans un congrès hal-01417665v1
Image document

Decomposed Task Mapping to Maximize QoS in Energy-Constrained Real-Time Multicores

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
35th IEEE International Conference on Computer Design (ICCD), Nov 2017, Boston, United States. pp.6
Communication dans un congrès hal-01633782v1
Image document

Run-Time Instruction Replication for Permanent and Soft Error Mitigation in VLIW Processors

Rafail Psiakis , Angeliki Kritikakou , Olivier Sentieys
NEWCAS 2017 - 15th IEEE International New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.321-324, ⟨10.1109/NEWCAS.2017.8010170⟩
Communication dans un congrès hal-01633778v1
Image document

The Hidden Cost of Functional Approximation Against Careful Data Sizing – A Case Study

Benjamin Barrois , Olivier Sentieys , Daniel Ménard
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland. ⟨10.23919/date.2017.7926979⟩
Communication dans un congrès hal-01423147v1
Image document

Energy and Performance Trade-off in Nanophotonic Interconnects using Coding Techniques

Cedric Killian , Daniel Chillet , Sébastien Le Beux , Olivier Sentieys , Van-Dung Pham
DAC 2017 - IEEE/ACM Design Automation Conference DAC, Jun 2017, Austin, United States. pp.6
Communication dans un congrès hal-01495468v1
Image document

Evaluation of NoC on Multi-FPGA Interconnection Using GTX Transceiver

Atef Dorai , Olivier Sentieys , Héléne Dubois
24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Dec 2017, Batumi, Georgia
Communication dans un congrès hal-01633785v1
Image document

Customizing Fixed-Point and Floating-Point Arithmetic - A Case Study in K-Means Clustering

Benjamin Barrois , Olivier Sentieys
SiPS 2017 - IEEE International Workshop on Signal Processing Systems, Oct 2017, Lorient, France
Communication dans un congrès hal-01633723v1
Image document

Interface Electrique/Optique pour un ONoC

Dung Pham Van , Daniel Chillet , Cedric Killian , Olivier Sentieys , Sébastien Le Beux
GRETSI 2017 - XXVIème colloque, Sep 2017, Juan les Pins, France. pp.1-4
Communication dans un congrès hal-01655417v1
Image document

Performance and Energy Aware Wavelength Allocation on Ring-Based WDM 3D Optical NoC

Jiating Luo , A Elantably , D D Pham , C Killian , Daniel Chillet
Design, Automation & Test in Europe Conference & Exhibition (DATE 2017), Mar 2017, Lausanne, Switzerland
Communication dans un congrès hal-01416958v1
Image document

NEDA: NOP Exploitation with Dependency Awareness for Reliable VLIW Processors

Rafail Psiakis , Angeliki Kritikakou , Olivier Sentieys
ISVLSI 2017 - IEEE Computer Society Annual Symposium on VLSI, May 2017, Bochum, Germany. pp.391-396, ⟨10.1109/ISVLSI.2017.75⟩
Communication dans un congrès hal-01633770v1
Image document

Taking Advantage of Correlation in Stochastic Computing

Rahul Kumar Budhwani , Rengarajan Ragavan , Olivier Sentieys
ISCAS 2017 - IEEE International Symposium on Circuits and Systems, May 2017, Baltimore, United States
Communication dans un congrès hal-01633725v1
Image document

Crosstalk noise aware wavelength allocation in WDM 3D ONoC

Jiating Luo , Daniel Chillet , Cédric Killian , Sébastien Le Beux , Ian O 'Connor
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Communication dans un congrès hal-01406355v1
Image document

Design Space Exploration of Optical Interfaces for Silicon Photonic Interconnects

Olivier Sentieys , Johanna Sepúlveda , Sébastien Le Beux , Jiating Luo , Cedric Killian
2th International Workshop on Optical/Photonic Interconnects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Automation and Test in Europe (DATE’16), Mar 2016, Dresden, Germany
Communication dans un congrès hal-01293506v1
Image document

Communication-Based Power Modelling for Heterogeneous Multiprocessor Architecture

Baptiste Roux , Matthieu Gautier , Olivier Sentieys , Steven Derrien
IEEE 10th International Symposium on Embedded Multicore /Many-core Systems-on-Chip (MCSoC 2016), Sep 2016, Lyon, France
Communication dans un congrès hal-01412835v1
Image document

Gestion de la consommation d'un ONoC intégré dans un MPSoC

Van-Dung Pham , Daniel Chillet , Cédric Killian , Sébastien Le Beux , Ian O 'Connor
Colloque National du GDR SoC-SiP, Jun 2016, Nantes, France
Communication dans un congrès hal-01414341v1

Bi-harmonic decomposition-based maximum loglikelihood estimator for carrier phase estimation of coherent optical M-QAM

Trung Hien Nguyen , Pascal Scalart , Mathilde Gay , Laurent Bramerie , Christophe Peucheret
Optical Fiber Communication Conference (OFC 2016), Optical Society of America, Mar 2016, Anaheim, CA, United States. pp.Tu3K.3, ⟨10.1364/OFC.2016.Tu3K.3⟩
Communication dans un congrès hal-01309175v1
Image document

Leveraging Power Spectral Density for Scalable System-Level Accuracy Evaluation

Benjamin Barrois , Karthick Parashar , Olivier Sentieys
IEEE/ACM Conference on Design Automation and Test in Europe (DATE), Mar 2016, Dresden, Germany. pp.6
Communication dans un congrès hal-01253494v1
Image document

Blind Adaptive Transmitter IQ Imbalance Compensation in M-QAM Optical Coherent Systems

Trung Hien Nguyen , Pascal Scalart , Mathilde Gay , Laurent Bramerie , Christophe Peucheret
2016 IEEE International Conference on Communication (ICC 2016), May 2016, Kuala Lumpur, Malaysia. ⟨10.1109/ICC.2016.7510925⟩
Communication dans un congrès hal-01337225v1
Image document

Adaptive Overclocking and Error Correction Based on Dynamic Speculation Window

Rengarajan Ragavan , Cedric Killian , Olivier Sentieys
ISVLSI, Jul 2016, Pittsburgh, United States. pp.325 - 330, ⟨10.1109/ISVLSI.2016.13⟩
Communication dans un congrès hal-01416945v1
Image document

Wavelength spacing optimization to reduce crosstalk in WDM 3D ONoC

Jiating Luo , Daniel Chillet , Cédric Killian , Sébastien Le Beux , Ian O 'Connor
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Communication dans un congrès hal-01406341v1
Image document

Effects of I/O Routing through Column Interfaces in Embedded FPGA Fabrics

Christophe Huriaux , Olivier Sentieys , Russell Tessier
FPL - 26th International Conference on Field Programmable Logic and Applications, Aug 2016, Lausanne, Switzerland
Communication dans un congrès hal-01341156v1
Image document

Gestion de la consommation d'un réseau optique intégré dans un MPSoC

Van-Dung Pham , Cédric Killian , Daniel Chillet , Sébastien Le Beux , Olivier Sentieys
Conférence d’informatique en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Communication dans un congrès hal-01406347v1
Image document

Channel-Aware Energy Optimization of OFDM Receivers Using Dynamic Precision Scaling in FPGAs

Fernando Cladera , Matthieu Gautier , Olivier Sentieys
European Signal Processing Conference (EUSIPCO 2015), Aug 2015, Nice, France
Communication dans un congrès hal-01175917v1

Asynchronous Wake Up Controller for WSN’s Microcontroller: Power Simulation and Specifications

Florent Berthier , Edith Beigné , Pascal Vivet , Olivier Sentieys
21st IEEE International Symposium on Asynchronous Circuits and Systems, 2015, Mountain View, United States
Communication dans un congrès hal-01253512v1
Image document

Power gain estimation of an event-driven wake-up controller dedicated to WSN's microcontroller

Florent Berthier , Edith Beigné , Pascal Vivet , Olivier Sentieys
IEEE 13th International New Circuits and Systems Conference (NEWCAS), Jun 2015, Grenoble, France. pp.1-4, ⟨10.1109/NEWCAS.2015.7182064⟩
Communication dans un congrès hal-01253513v1
Image document

l1-norm Minimization Based Algorithm for Non-Intrusive Load Monitoring

Xuan-Chien Le , Baptiste Vrigneau , Olivier Sentieys
IEEE International Conference on Pervasive Computing and Communication Workshops (PerCom Workshops), IEEE Workshop on Pervasive Energy Services, Mar 2015, St. Louis, United States. pp.299 - 304, ⟨10.1109/PERCOMW.2015.7134052⟩
Communication dans un congrès hal-01253514v1
Image document

Low-complexity energy proportional posture/gesture recognition based on WBSN

Alexis Aulery , Jean-Philippe Diguet , Christian Roland , Olivier Sentieys
12th IEEE Int. Conference on Wearable and Implantable Body Sensor Networks (BSN), Jun 2015, Cambridge, United States. ⟨10.1109/BSN.2015.7299414⟩
Communication dans un congrès hal-01163581v1
Image document

Energy-Aware Computing via Adaptive Precision under Performance Constraints in OFDM Wireless Receivers

Fernando Cladera , Matthieu Gautier , Olivier Sentieys
IEEE Computer Society Annual Symposium on VLSI (ISVLSI 15), Jul 2015, Montpellier, France
Communication dans un congrès hal-01175920v1

Radio Signature Based Posture Recognition Using WBSN

Alexis Aulery , Christian Roland , Jean-Philippe Diguet , Zheng Zhongwei , Olivier Sentieys
The 14th International Conference on Information Processing in Sensor Networks (IPSN), Apr 2015, Seattle, United States
Communication dans un congrès hal-01119109v1
Image document

Carrier Frequency Offset Estimation Based on Circular Harmonic Expansion for Optical Coherent M-QAM Communication Systems

Trung Hien Nguyen , Michel Joindot , Mathilde Gay , Laurent Bramerie , Jean-Claude Simon
20th Opto-Electronics and Communications Conference (OECC 2015), Jun 2015, Shanghai, China. pp.1-3, ⟨10.1109/OECC.2015.7340175⟩
Communication dans un congrès hal-01316911v1
Image document

Designing Applications for Heterogeneous Many-Core Architectures with the FlexTiles Platform

Benedikt Janssen , Fynn Schwiegelshohn , Martijn Koedam , François Duhem , Leonard Masing
SAMOS - 15th International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, IEEE, Jul 2015, Samos Island, Greece. pp.9
Communication dans un congrès hal-01185737v1

Gestion des zones en fautes d’une architecture reconfigurable lors du placement des tâches matérielles

Daniel Chillet , Chin Dinh Ma , Olivier Sentieys
Gretsi 2015, Sep 2015, Lyon, France
Communication dans un congrès hal-01193179v1

Low complexity on-chip distributed DC-DC converter for low power WSN nodes

Rengarajan Ragavan , Cédric Killian , Olivier Sentieys
NEWCAS 2015 - New Circuits and Systems Conference, Jun 2015, Grenoble, France. pp.4, ⟨10.1109/NEWCAS.2015.7182118⟩
Communication dans un congrès hal-01196987v1

Bandwidth Requirements in Manycore Architectures: What Can 3D Bring?

Olivier Sentieys
1st International Workshop on Optical/Photonic Inter- connects for Computing Systems (OPTICS Workshop), co-located with IEEE/ACM Design Au- tomation and Test in Europe (DATE’15), Mar 2015, Grenoble, France
Communication dans un congrès hal-01289957v1
Image document

Joint Simple Blind IQ Imbalance Compensation and Adaptive Equalization for 16-QAM Optical Communications

Trung Hien Nguyen , Pascal Scalart , Michel Joindot , Mathilde Gay , Laurent Bramerie
IEEE International Conference on Communications, Jun 2015, Londres, United Kingdom. pp.4913 - 4918, ⟨10.1109/ICC.2015.7249101⟩
Communication dans un congrès hal-01162391v1

Channel allocation protocol for reconfigurable Optical Network-on-Chip

Luo Jiating , Cédric Killian , Sébastien Le Beux , Daniel Chillet , Hui Li
SiPhotonics: Exploiting Silicon Photonics for energy-efficient high-performance computing (SiPhotonics'15), Jan 2015, Amsterdam, Netherlands. pp.7
Communication dans un congrès hal-01096537v1

Communication Aware Design Method for Optical Network-on-Chip

Martha Johanna Sepulveda , Sébastien Le Beux , Luo Jiating , Cédric Killian , Daniel Chillet
International Symposium on Embedded Multicore/Many-core Systems-on-Chip, MCSoC-15, Politecnico di Torino, Turin, Italy, Sep 2015, Turin, Italy. pp.243-250
Communication dans un congrès hal-01241858v1
Image document

Design Flow and Run-Time Management for Compressed FPGA Configurations

Christophe Huriaux , Antoine Courtay , Olivier Sentieys
DATE - Design, Automation and Test in Europe, Mar 2015, Grenoble, France
Communication dans un congrès hal-01089319v1
Image document

Carrier Phase Recovery for Optical Coherent M-QAM Communication Systems Using Harmonic Decomposition-based Maximum Loglikelihood Estimators

Trung Hien Nguyen , Michel Joindot , Pascal Scalart , Mathilde Gay , Laurent Bramerie
OSA Advanced Photonics Congress 2015 (APC 2015), Jun 2015, Boston, MA, United States. SpT4D.3, ⟨10.1364/SPPCOM.2015.SpT4D.3⟩
Communication dans un congrès hal-01315770v1
Image document

RIC-MAC: a MAC Protocol for Low-Power Cooperative Wireless Sensor Networks

Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
IEEE Wireless Communications and Networking Conference (WCNC), Apr 2014, Istanbul, Turkey. pp.1944-1949, ⟨10.1109/WCNC.2014.6952567⟩
Communication dans un congrès hal-01097607v1
Image document

Toward Scalable Source Level Accuracy Analysis for Floating-point to Fixed-point Conversion

Gaël Deest , Tomofumi Yuki , Olivier Sentieys , Steven Derrien
2014 IEEE/ACM International Conference on Computer-Aided Design, Nov 2014, San Jose, United States. pp.726--733
Communication dans un congrès hal-01095207v1

A Power Manager with Balanced Quality of Service for Energy-Harvesting Wireless Sensor Nodes

Trong Nhan Le , Alain Pegatoquet , Olivier Berder , Olivier Sentieys
International Workshop on Energy Neutral Sensing Systems (ENSSys) - ENSsys '14, Nov 2014, Memphis, United States. pp.19-24, ⟨10.1145/2675683.2675687⟩
Communication dans un congrès hal-01069099v1
Image document

Frame-based Modeling for Automatic Synthesis of FPGA-Software Defined Radio

Ganda Stephane Ouedraogo , Matthieu Gautier , Olivier Sentieys
9th International Conference on Cognitive Radio Oriented Wireless Networks, Jun 2014, Oulu, Finland. pp.203-208
Communication dans un congrès hal-01070549v1

FPGA Architecture Enhancements to Support Heterogeneous Partially Reconfigurable Regions

Christophe Huriaux , Olivier Sentieys , Russell Tessier
FCCM - 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2014, Boston, United States. pp.30, ⟨10.1109/FCCM.2014.17⟩
Communication dans un congrès hal-01100334v1

EnvAdapt: An Energy-Aware Simulation Framework for Power-Scalable Transceivers for Wireless Sensor Networks

Amine Didioui , Carolynn Bernier , Le-Quang-Vinh Tran , Olivier Sentieys
20th European Wireless Conference, May 2014, Barcelona, Spain. pp.1-6
Communication dans un congrès hal-01097062v1
Image document

FPGA Architecture Support for Heterogeneous, Relocatable Partial Bitstreams

Christophe Huriaux , Olivier Sentieys , Russell Tessier
FPL - 24th International Conference on Field Programmable Logic and Applications, Sep 2014, Munich, Germany. ⟨10.1109/FPL.2014.6927494⟩
Communication dans un congrès hal-01017184v1
Image document

Design Space Exploration in an FPGA-Based Software Defined Radio

Matthieu Gautier , Ganda Stephane Ouedraogo , Olivier Sentieys
Euromicro Conference on Digital System Design, Aug 2014, Verona, Italy. ⟨10.1109/DSD.2014.44⟩
Communication dans un congrès hal-01084781v1
Image document

Low Power Reconfigurable Controllers for Wireless Sensor Network Nodes

Vivek Tovinakere Dwarakanath , Olivier Sentieys , Steven Derrien , Christophe Huriaux
FCCM - 22nd IEEE International Symposium on Field-Programmable Custom Computing Machines, May 2014, Boston, United States. pp.230-233, ⟨10.1109/FCCM.2014.68⟩
Communication dans un congrès hal-01017185v1
Image document

IQ Imbalance Compensation Based on Maximum SNR Estimation in Coherent QPSK Systems

Trung Hien Nguyen , Fausto Gomez Agis , Mathilde Gay , Luiz Anet Neto , Pascal Scalart
16th International Conference on Transparent Optical Networks (ICTON 2014), Jul 2014, Graz, Austria. paper Tu.C1.3, ⟨10.1109/ICTON.2014.6876406⟩
Communication dans un congrès hal-01062978v1

A Polynomial Time Algorithm for Solving the Word-length Optimization Problem

Karthick Parashar , Daniel Menard , Olivier Sentieys
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2013, San Diego, United States
Communication dans un congrès hal-00876132v1

A Low-Latency and Energy-Efficient MAC Protocol for Cooperative Wireless Sensor Networks

Duc-Long Nguyen , Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
Global Communications Conference (Globecom), IEEE, Dec 2013, Atlanta, United States
Communication dans un congrès hal-00931828v1
Image document

Vers un language spécialisé pour la radio logicielle sur FPGA

Ganda Stephane Ouedraogo , Matthieu Gautier , Olivier Sentieys
Colloque national du GDR SoC-SiP, Jun 2013, Lyon, France. pp.2
Communication dans un congrès hal-00922785v1

Adaptive Filter for Energy Predictor in Energy Harvesting Wireless Sensor Networks

Trong-Nhan Le , Olivier Sentieys , Olivier Berder , Alain Pegatoquet , Cécile Belleudy
26th IEEE International Conference on Architecture of Computing Systems (ARCS), 3rd Workshop on Ultra Low Power (WUPS), Feb 2013, Prague, Czech Republic. pp.1-4
Communication dans un congrès hal-00921309v1
Image document

Design and Implementation of DSP algorithms for 100 Gbps Coherent Optical-OFDM (CO-OFDM) Systems

Pramod Udupa , Olivier Sentieys , Laurent Bramerie
XXIVe Colloque Gretsi - Traitement du Signal et des Images, Sep 2013, Brest, France. pp.1-4
Communication dans un congrès hal-00931542v1
Image document

HarvWSNet: A co-simulation framework for energy harvesting wireless sensor networks

Amine Didioui , Carolynn Bernier , Dominique Morche , Olivier Sentieys
International Conference on Computing, Networking and Communications (ICNC), Jan 2013, San Diego, United States. pp.808-812, ⟨10.1109/ICCNC.2013.6504192⟩
Communication dans un congrès hal-00931772v1
Image document

An FPGA Software Defined Radio Platform with a High-Level Synthesis Design Flow

Vaibhav Bhatnagar , Ganda Stephane Ouedraogo , Matthieu Gautier , Arnaud Carer , Olivier Sentieys
IEEE International Vehicular Technology conference (VTC-Spring13), Jun 2013, Dresden, Germany. pp.12
Communication dans un congrès hal-00833554v1
Image document

Back to Results Prototyping an Energy Harvesting Wireless Sensor Network Application Using HarvWSNet

Florian Broekaert , Amine Didioui , Carolynn Bernier , Olivier Sentieys
Proceedings of 26th International Conference on Architecture of Computing Systems (ARCS), Feb 2013, Prague, Czech Republic. pp.1-6
Communication dans un congrès hal-00931782v1

On the Energy Savings of Adaptive Transmit Power for Wireless Sensor Networks Radio Transceivers

Muhammad Mahtab Alam , Olivier Berder , Daniel Menard , Olivier Sentieys
26th International Conference on Architecture of Computing Systems (ARCS), Feb 2013, Prague, Czech Republic
Communication dans un congrès hal-00876141v1
Image document

Description haut niveau de formes d'ondes pour la radio logicielle sur architectures reconfigurables

Ganda Stephane Ouedraogo , Matthieu Gautier , Olivier Sentieys
XXIVe Colloque Gretsi - Traitement du Signal et des Images, Sep 2013, Brest, France
Communication dans un congrès hal-00863361v1

Component-Level Datapath Merging in System-Level Design of Wireless Sensor Node Controllers for FPGA-Based Implementations

Muhammad Adeel Ahmed Pasha , Steven Derrien , Olivier Sentieys
Euromicro Conference on Digital System Design (DSD), Sep 2013, Santander, Spain. pp.543-550, ⟨10.1109/DSD.2013.64⟩
Communication dans un congrès hal-00921421v1

Energy efficient reservation-based opportunistic MAC scheme in multi-hop networks

Ruifeng Zhang , Olivier Berder , Olivier Sentieys
International Symposium on Personal Indoor and Mobile Radio Communications (PIMRC), IEEE, Sep 2013, London, United Kingdom. pp.1660 - 1665, ⟨10.1109/PIMRC.2013.6666409⟩
Communication dans un congrès hal-00931831v1

An FPGA Configuration Stream Architecture Supporting Seamless Hardware Accelerator Migration

Christophe Huriaux , Olivier Sentieys , Antoine Courtay
ConfigComp'2013, Workshop on Reconfigurable Computing V2.0: The Next Generation of Technology, Architectures and Design Tools, held in conjunction to the DATE 2013 conference, Mar 2013, Grenoble, France
Communication dans un congrès hal-00931572v1
Image document

A Block-Parallel Architecture for Initial and Fine Synchronization in OFDM Systems

Pramod Udupa , Olivier Sentieys , Pascal Scalart
IEEE International Conference on Communications (ICC), 2013, Budapest, Hungary. pp.4761-4765, ⟨10.1109/ICC.2013.6655326⟩
Communication dans un congrès hal-00931445v1
Image document

Architectures de contrôleurs ultra-faible consommation pour noeuds de réseau de capteurs sans fil

Olivier Sentieys , Muhammad Adeel Ahmed Pasha , Steven Derrien
XXIVe Colloque Gretsi - Traitement du Signal et des Images, Sep 2013, Brest, France. pp.1-4
Communication dans un congrès hal-00931628v1

GeCoS: A framework for prototyping custom hardware design flows

Antoine Floch , Tomofumi Yuki , Ali El-Moussawi , Antoine Morvan , Kevin Martin
13th IEEE International Working Conference on Source Code Analysis and Manipulation (SCAM), Sep 2013, Eindhoven, Netherlands. pp.100-105, ⟨10.1109/SCAM.2013.6648190⟩
Communication dans un congrès hal-00921370v1

Duty-Cycle Power Manager for Thermal-Powered Wireless Sensor Networks

Trong Nhan Le , Alain Pegatoquet , Olivier Sentieys , Olivier Berder , Cécile Belleudy
2013 IEEE 24th Annual International Symposium on Personal, Indoor, and Mobile Radio Communications (PIMRC), Sep 2013, Londres, United Kingdom. pp.1645-1649, ⟨10.1109/PIMRC.2013.6666406⟩
Communication dans un congrès hal-00921315v2
Image document

Power reconfigurable receiver model for energy-aware applications

Amine Didioui , Carolynn Bernier , Dominique Morche , Olivier Sentieys
IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS), Aug 2013, Colombus, United States. pp.800-803, ⟨10.1109/MWSCAS.2013.6674770⟩
Communication dans un congrès hal-00931775v1
Image document

A Novel Hierarchical Low Complexity Synchronization Method for OFDM Systems

Pramod Udupa , Olivier Sentieys , Pascal Scalart
2013 IEEE 77th Vehicular Technology Conference (VTC Spring), Jun 2013, Dresden, Germany. pp.1-5, ⟨10.1109/VTCSpring.2013.6691838⟩
Communication dans un congrès hal-00931530v1

Multi-Source Power Manager for Super-Capacitor based Energy Harvesting Wireless Sensor Networks

Trong-Nhan Le , Alain Pegatoquet , Olivier Berder , Olivier Sentieys
1st International Workshop on Energy Neutral Sensing Systems (ENSSys) organized in conjunction with 11th ACM SenSys Conference, Nov 2013, Rome, Italy. Paper 19, ⟨10.1145/2534208.2534227⟩
Communication dans un congrès hal-00921320v1

Energy Monitor for Super Capacitor based Wireless Sensor Networks

Trong-Nhan Le , Alain Pegatoquet , Olivier Sentieys , Olivier Berder , Cécile Belleudy
Colloque GDR SoC-SiP (System On Chip - System In Package), Jun 2013, Lyon, France
Communication dans un congrès hal-00921284v1

Ultra Low Power Asynchronous MAC Protocol using Wake-Up Radio for Energy Neutral Wireless Sensor Networks

Trong-Nhan Le , Michele Magno , Alain Pegatoquet , Olivier Berder , Olivier Sentieys
1st International Workshop on Energy-Neutral Sensing Systems (ENSsys) organized in conjunction with 11th ACM SenSys Conference, Nov 2013, Rome, Italy. Paper 10, ⟨10.1145/2534208.2534221⟩
Communication dans un congrès hal-00921329v1

A Flexible Approach for Compiling Scilab to Reconfigurable Multi-Core Embedded Systems

Timo Stripf , Oliver Oey , Thomas Bruckschloegl , Ralf Koenig , Michael Huebner
International Workshop on Reconfigurable Communication-centric Systems-on-Chip, Jul 2012, york, United Kingdom. pp.1-8
Communication dans un congrès hal-00752644v1

Towards Future Adaptive Multiprocessor Systems-On-Chip: an Innovative Approach for Flexible Architectures

Fabrice Lemonnier , P. Millet , Gabriel Marchesan Almeida , Michael Huebner , Jurgen Becker
International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation, Jul 2012, Samos, Greece
Communication dans un congrès hal-00741728v1

Latency-Energy Optimized MAC Protocol for Body Sensor Networks

M.M. Alam , Olivier Berder , D. Menard , Olivier Sentieys
Ninth International Conference on Wearable and Implantable Body Sensor Networks (BSN), May 2012, London, United Kingdom. pp.67 -72, ⟨10.1109/BSN.2012.8⟩
Communication dans un congrès hal-00741558v1

A semiempirical model for wakeup time estimation in power-gated logic clusters

Vivek Tovinakere Dwarakanath , Olivier Sentieys , Steven Derrien
The 49th Annual Design Automation Conference 2012, DAC '12, San Francisco, CA, USA, June 3-7, 2012, Jun 2012, San Fransisco, United States. pp.48-55
Communication dans un congrès hal-00752606v1

GRECO : GREen Communicating Objects

O. Berder , Olivier Sentieys , T.-N. Le , R. Fontaine , Alain Pegatoquet
IEEE Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2012, Karlsruhe, Germany. pp.1-2
Communication dans un congrès hal-00764530v1

GRECO : GREen Communicating Objects

O. Berder , Olivier Sentieys , Trong-Nhan Le , Alain Pegatoquet , Cécile Belleudy
Forum SAME (Sophia Antipolis Microelectronics), Oct 2012, Sophia Antipolis, France
Communication dans un congrès hal-00764520v1

GRECO : Power Management and Protocol-Level Energy Reduction Techniques

Alain Pegatoquet , Olivier Sentieys
Ecole Thématique Conception Faible Consommation (EcoFac), May 2012, La Colle sur loup, France
Communication dans un congrès hal-00764596v1

From Scilab to Multicore Embedded Systems: Algorithms and Methodologies

George Goulas , Panayiotis Alefragis , Nikolaos S. Voros , Christos Valouxis , Christos Gogos
Proceedings of the IEEE International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (IC-SAMOS 2012, Jul 2012, Samos, Greece
Communication dans un congrès hal-00752615v1

From Scilab To High Performance Embedded Multicore Systems - The ALMA Approach

Juergen Becker , Michael Huebner , Timo Stripf , Oliver Oey , Steven Derrien
15th EUROMICRO Conference on Digital System Design, Sep 2012, Cesme, Izmir, Turkey. pp.??-??
Communication dans un congrès hal-00752642v1

Power Consumption Model for Partial Dynamic Reconfiguration

Robin Bonamy , Daniel Chillet , Sebastien Bilavarn , Olivier Sentieys
International Conference on ReConFigurable Computing and FPGA (RECONFIG'2012), Dec 2012, Cancun, Mexico. pp.1-8, ⟨10.1109/ReConFig.2012.6416772⟩
Communication dans un congrès hal-00741611v1

Power Manager with PID controller in Energy Harvesting Wireless Sensor Networks

T.-N. Le , Olivier Sentieys , O. Berder , Alain Pegatoquet , Cécile Belleudy
IEEE International Conference on Internet of Things, Workshop on energy and Wireless Sensors (e-WiSe), Nov 2012, Besançon, France. pp.668-670, ⟨10.1109/GreenCom.2012.107⟩
Communication dans un congrès hal-00764554v1

Novel Algorithms for Word-length Optimization

Nguyen Hai Nam , Daniel Menard , Olivier Sentieys
19th European Signal Processing Conference (EUSIPCO-2011), Aug 2011, Barcelona, Spain
Communication dans un congrès inria-00617718v1
Image document

Non-Regenerative Full Distributed Space-Time Codes in Cooperative Relaying Networks

Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
Proc. of the IEEE International Wireless Communications and Networking Conference (WCNC), Mar 2011, Cancun, Mexico, France. pp.1529 - 1533
Communication dans un congrès hal-00746408v1

Evaluation de la précision en virgule fixe dans le cas des structures conditionnelles

Naud Jean-Charles , Daniel Menard , Quentin L. Meunier , Olivier Sentieys
14th Symposium en Architecture (SympA'11), May 2011, Saint Malo, France
Communication dans un congrès inria-00617720v1

Error Recovery Technique for Coarse-Grained Reconfigurable Architectures

Muhammad Moazam Azeem , Stanislaw Piestrak , Olivier Sentieys , Sébastien Pillement
IEEE Symposium on Design and Diagnostics of Electronic Circuits and Systems, 2011, Cottbus, Germany
Communication dans un congrès hal-00650599v1

Implémentation matérielle d'un réseau de neurones pour l'ordonnancement de tâches sur architectures multi-processeur hétérogènes

Alexis Pasturel , Antoine Eiche , Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Symposium Architectures Nouvelles de Machines, May 2011, Saint Malo, France
Communication dans un congrès hal-00650642v1

Fixed-point Accuracy Evaluation in the Context of Conditional Structures

Jean-Charles Naud , Quentin L. Meunier , Daniel Ménard , Olivier Sentieys
19th European Signal Processing Conference (EUSIPCO), Sep 2011, Barcelona, Spain
Communication dans un congrès hal-00747610v1
Image document

Approche hiérarchique pour l'optimisation de la précision des systèmes de traitement du signal utilisant l'arithmétique virgule fixe

Karthick Parashar , Olivier Sentieys , Daniel Ménard
XXIIIe Colloque GRETSI - Traitement du Signal et des Images, Sep 2011, Bordeaux, France
Communication dans un congrès hal-00747603v1

Towards a Power and energy Efficient Use of Partial Dynamic Reconfiguration

Robin Bonamy , Daniel Chillet , Sebastien Bilavarn , Olivier Sentieys
Colloque GDR SoC/SiP (System On Chip - System In Package), Jun 2011, Lyon, France
Communication dans un congrès hal-00650640v1

Spectral efficiency and energy efficiency of distributed space-time relaying models

Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
Proc. of the IEEE Conference on Consumer Communications and Networking Conference (CCNC), Jan 2011, Las Vegas, US, United States. pp.1088 -1092
Communication dans un congrès hal-00746552v1

Parallelism Level Impact on Energy Consumption in Reconfigurable Devices

Robin Bonamy , Daniel Chillet , Sebastien Bilavarn , Olivier Sentieys
HEART (International Workshop on Highly-Efficient Accelerators and Reconfigurable Technologies), Imperial college, Jun 2011, London, United Kingdom. pp.104-105
Communication dans un congrès hal-00650631v1

Parallel Evaluation of Hopfield Neural Networks

Antoine Eiche , Daniel Chillet , Sébastien Pillement , Olivier Sentieys
NCTA, International Conference on Neural Computation Theory and Applications, Oct 2011, Paris, France
Communication dans un congrès hal-00650633v1

Exploitation du concept de tolérance aux fautes des réseaux de neurones pour la résolution de problèmes d'optimisation

Daniel Chillet , Antoine Eiche , Sébastien Pillement , Olivier Sentieys
Gretsi, Sep 2011, Bordeaux, France
Communication dans un congrès hal-00650634v1

Towards a power and energy efficient use of partial dynamic reconfiguration

Robin Bonamy , Daniel Chillet , Olivier Sentieys , Sebastien Bilavarn
Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC) Workshop, Jun 2011, Montpellier, France. ⟨10.1109/ReCoSoC.2011.5981540⟩
Communication dans un congrès hal-00650638v1

Quantization Mode Opportunities in Fixed-Point System Design

Daniel Menard , David Novo , Romuald Rocher , Francky Catthoor , Olivier Sentieys
18th European Signal Processing Conference (EUSIPCO-2010) (2010), EURASIP, Aug 2011, Aalborg, Denmark. pp.542-546
Communication dans un congrès inria-00534526v1

Efficacités spectrale et énergétique des systèmes de relais

Le-Quang-Vinh Tran , Olivier Berder , Olivier Sentieys
XXIIIe Colloque GRETSI - Traitement du Signal et des Images, Sep 2011, Bordeaux, France
Communication dans un congrès hal-00746562v1

Accurate Energy Consumption Evaluation of Preamble Sampling MAC Protocols for WSN

Mahtab Alam , Olivier Berder , Daniel Menard , Olivier Sentieys
Proc. of the Workshop on Ultra-Low Power Sensor Networks (WUPS), co-located with Int. Conf. on Architecture of Computing Systems (ARCS), Feb 2011, Como, Italy, Italy
Communication dans un congrès hal-00746553v1

Traffic-Aware Adaptive Wake-Up-Interval for Preamble Sampling MAC Protocols of WSN

Mahtab Alam , Olivier Berder , Daniel Menard , Olivier Sentieys
Proc. of the International Workshop on Cross-Layer Design (IWCLD), Nov 2011, Rennes, France
Communication dans un congrès hal-00746555v1

Wakeup Time and Wakeup Energy Estimation in Power-Gated Logic Clusters

Vivek Tovinakere Dwarakanath , Olivier Sentieys , Steven Derrien
24th International Conference on VLSI Design, Jan 2011, Chennai, India
Communication dans un congrès inria-00554470v1

Graphic Rendering Application Profiling on a Shared Memory MPSoC Architecture

Matthieu Texier , Raphaël David , Karim Ben Chehida , Olivier Sentieys
Conference on Design and Architectures for Signal and Image Processing (DASIP), Nov 2011, Tampere, Finland
Communication dans un congrès hal-00747701v1

Shaping Probability Density Function of Quantization Noise in Fixed Point Systems

Karthick Parashar , Daniel Menard , Romuald Rocher , Olivier Sentieys
44th Annual Asilomar Conference on Signals, Systems, and Computers, Nov 2010, Monterey, United States
Communication dans un congrès inria-00534529v1

System-Level Synthesis for Ultra Low-Power Wireless Sensor Nodes

Adeel Pasha , Steven Derrien , Olivier Sentieys
Proc. of the 13th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD), Sep 2010, Lille, France, France. pp.493 - 500
Communication dans un congrès inria-00554204v1

Cooperative MISO and Relay Comparison in Energy Constrained Wireless Sensor Networks

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys
71st IEEE International Vehicular Technology conference (VTC), May 2010, Taipei, Taiwan. pp.1-5, ⟨10.1109/VETECS.2010.5493688⟩
Communication dans un congrès inria-00554835v1

Energy Efficiency of Cooperative Strategies in Wireless Sensor Networks

Olivier Berder , Olivier Sentieys , Le-Quang-Vinh Tran
International Conferences on Advanced Technologies for Communications (ATC), Oct 2010, Ho Chi Minh Ville, Vietnam
Communication dans un congrès inria-00554851v1

Analytical Approach for Analyzing Quantization Noise Effects on Decision Operators

Karthick Parashar , Romuald Rocher , Daniel Menard , Olivier Sentieys
IEEE International Conference on Acoustics Speech and Signal Processing (ICASSP), Mar 2010, Dallas, United States. pp.1554-1557, ⟨10.1109/ICASSP.2010.5495520⟩
Communication dans un congrès inria-00534522v1

Cooperative MIMO and Relay Association Strategy

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys , Mai Linh
International Conferences on Advanced Technologies for Communications (ATC), Oct 2010, Ho Chi Minh Ville, Vietnam
Communication dans un congrès inria-00554842v1

Fast Performance Evaluation of Fixed-Point Systems with Un-Smooth Operators

Karthick Parashar , Daniel Menard , Romuald Rocher , Olivier Sentieys , David Novo
IEEE/ACM International Conference on Computer-Aided Design (ICCAD), Nov 2010, San Jose, United States
Communication dans un congrès inria-00534527v1

A Complete Design-Flow for the Generation of Ultra Low-Power WSN Node Architectures Based on Micro-Tasking

Adeel Pasha , Olivier Sentieys , Steven Derrien
Proc. of the 47th IEEE/ACM Design Automation Conference (DAC), Jun 2010, Anaheim, CA, USA, x-proceedings = yes, x-international-audience = yes, x-editorial-board = yes, x-in, United States. pp.693 - 698
Communication dans un congrès inria-00554202v1

Transmitter Architecture for the Evaluation of Beamforming Schemes in the IEEE 802.11n Standard

Michel Theriault , Sébastien Roy , Olivier Sentieys
11th annual IEEE Wireless and Microwave Technology (WAMI) Conference, 2010, Melbourne, United States. ⟨10.1109/WAMICON.2010.5461867⟩
Communication dans un congrès inria-00554474v1

Design of a Fault-Tolerant Coarse-Grained Reconfigurable Architecture: A Case Study

Syed Jafri , Stanislaw Piestrak , Olivier Sentieys , Sébastien Pillement
IEEE International Symposium on Quality Electronic Design (ISQED), Mar 2010, San Jose, United States
Communication dans un congrès inria-00480553v1

Estimating Frequency Characteristics of Quantization Noise for Performance Evaluation of Fixed Point Systems

Karthick Parashar , Daniel Menard , Romuald Rocher , Olivier Sentieys
18th European Signal Processing Conference (EUSIPCO-2010), EURASIP, Aug 2010, Aalborg, Denmark. pp.552-556
Communication dans un congrès inria-00534524v1

A Coarse-Grain Reconfigurable Hardware Architecture for RVC-CAL-based Design

Cécile Beaumin , Emmanuel Casseau , Olivier Sentieys , Arnaud Carer
Design and Architectures for Signal and Image Processing, Oct 2010, Edinburgh, United Kingdom
Communication dans un congrès inria-00554250v1

Task placement for dynamic and partial reconfigurable architecture

Antoine Eiche , Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Conference on Design and Architectures for Signal and Image Processing, Oct 2010, Edimbourg, United Kingdom
Communication dans un congrès inria-00536714v1

Quantization mode opportunities in fixed-point system design

Daniel Menard , David Novo , Romuald Rocher , Francky Catthoor , Olivier Sentieys
European Signal Processing Conference, 2010, Aalborg, Denmark
Communication dans un congrès lirmm-02089546v1

PowWow: Power Optimized Hardware/Software Framework for Wireless Motes

Olivier Berder , Olivier Sentieys
Workshop on Ultra-Low Power Sensor Networks (WUPS), co-located with Int. Conf. on Architecture of Computing Systems (ARCS 2010), Feb 2010, Hannover, Germany. pp.229-233
Communication dans un congrès inria-00554827v1
Image document

A Novel Approach for Ultra Low-Power WSN Node Generation

Adeel Pasha , Steven Derrien , Olivier Sentieys
IET Irish Signals and Systems Conference (ISSC 2010), Jun 2010, cork, Ireland
Communication dans un congrès inria-00556844v1

A Hierarchical Methodology for Word-Length Optimization of Signal Processing Systems

Karthick Parashar , Romuald Rocher , Daniel Menard , Olivier Sentieys
23rd International Conference on VLSI Design, 2010. Proceedings, Bangalore, India, Jan 2010, Bangalore, India
Communication dans un congrès inria-00432590v1

Design of Optimized Fixed-point WCDMA Receiver

Nguyen Hai Nam , Daniel Menard , Olivier Sentieys
European Signal and Image Processing Conference (EUSIPCO), Glascow, Scotland, Aug 2009, Glasgow, United Kingdom
Communication dans un congrès inria-00432581v1

Arithmetic operators for on-the-fly evaluation of TRNGs

Renaud Santoro , Arnaud Tisserand , Olivier Sentieys , Sébastien Roy
Advanced Signal Processing Algorithms, Architectures and Implementations XVIII, Aug 2009, San Diego, United States. ⟨10.1117/12.826336⟩
Communication dans un congrès inria-00422143v1
Image document

On-Line Monitoring of Random Number Generators for Embedded Security

Renaud Santoro , Olivier Sentieys , Sébastien Roy
IEEE International Symposium on Circuits and Systems, ISCAS 2009, May 2009, Taipei, Taiwan. ⟨10.1109/ISCAS.2009.5118446⟩
Communication dans un congrès inria-00446036v1

Cooperative strategies comparison for infrastructure and vehicle communications in CAPTIV

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys
9th IEEE International Conference on ITS Telecommunication (ITST), Oct 2009, Lille, France
Communication dans un congrès inria-00450985v1

Ultra low-power FSM for control oriented applications

Steven Derrien , Adeel Pasha , Olivier Sentieys
IEEE International Symposium on Circuits and Systems, 2009., May 2009, Taipe, Taiwan
Communication dans un congrès inria-00453945v1

Minimum Distance Based Precoder for MIMO-OFDM Systems Using a 16-QAM Modulation

Quoc-Tuong Ngo , Olivier Berder , Baptiste Vrigneau , Olivier Sentieys
IEEE International Conference on Communications (ICC), Jun 2009, Dresden, Germany. pp.1-5
Communication dans un congrès inria-00450981v1

Plate-forme de Conception d'Architectures Reconfigurables Dynamiquement pour le Domaine du TSI

Julien Lallet , Sébastien Pillement , Olivier Sentieys
Symposium on Signal and Image Processing (GRETSI), Sep 2009, Dijon, France
Communication dans un congrès inria-00446927v1

Reconfigurable Operator Based Multimedia Embedded Processor

Daniel Menard , Emmanuel Casseau , Shafqat Khan , Olivier Sentieys , S. Chevobbe
Reconfigurable Computing: Architectures, Tools and Applications, Mar 2009, Karlsruhe, Germany. pp.39--49, ⟨10.1007/978-3-642-00641-8_7⟩
Communication dans un congrès inria-00432566v1

Architecture optimisée de SVD pour le calcul d'un précodeur dans une chaine de transmission MIMO

Héléne Dubois , Olivier Berder , Guillaume Garnier , Baptiste Vrigneau , Olivier Sentieys
GRETSI'09, Sep 2009, Dijon, France. pp.302
Communication dans un congrès hal-00476446v1

Flot d'ordonnancement pour architecture reconfigurable

Antoine Eiche , Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Symposium en Architecture de machines (SympA'13), Sep 2009, Toulouse, France
Communication dans un congrès inria-00450255v1

Interconnect Explorer: A High-level Power Estimation Tool for On-Chip Interconnects

Antoine Courtay , Johann Laurent , Olivier Sentieys , Nathalie Julien
User Track of the Design Automation Conference, DAC 2009, Jul 2009, San Francisco, United States. pp.1
Communication dans un congrès hal-00417246v1
Image document

On-the-Fly Evaluation of FPGA-Based True Random Number Generator

Renaud Santoro , Olivier Sentieys , Sébastien Roy
IEEE Computer Society Annual Symposium on VLSI, ISVLSI'09, May 2009, Tampa, Florida, United States. ⟨10.1109/ISVLSI.2009.33⟩
Communication dans un congrès inria-00445943v1
Image document

xMAML: a Modeling Language for Dynamically Reconfigurable Architectures

Julien Lallet , Sébastien Pillement , Olivier Sentieys
12th Euromicro Conference on Digital System Design: Architectures, Methods and Tools (DSD), Aug 2009, Patras, Greece. pp.680 - 687, ⟨10.1109/DSD.2009.151⟩
Communication dans un congrès inria-00446056v1

Toward Ultra Low-Power Hardware Specialization of a Wireless Sensor Network Node

Adeel Pasha , Steven Derrien , Olivier Sentieys
Proc. of the 13th IEEE International Multitopic Conference, INMIC 2009, Dec 2009, Islamabad, Pakistan. ⟨10.1109/INMIC.2009.5383135⟩
Communication dans un congrès hal-00779992v1

Dynamic precision scaling for low power WCDMA receiver

Hai Nam Nguyen , Daniel Menard , Olivier Sentieys
IEEE International Symposium on Circuits and Systems, 2009. ISCAS 2009, May 2009, Taipei, Taiwan. pp.205-208, ⟨10.1109/ISCAS.2009.5117721⟩
Communication dans un congrès inria-00432584v1
Image document

Efficient Dynamic Reconfiguration for Multi-context Embedded FPGA

Julien Lallet , Sébastien Pillement , Olivier Sentieys
21st Annual Symposium on Integrated Circuits and System Design, SBCCI'08, 2008, Gramado, Brazil. pp.210-215, ⟨10.1145/1404371.1404428⟩
Communication dans un congrès inria-00446064v1

Energy reduction in wireless system by dynamic adaptation of the fixed-point specification

Nguyen Hai Nam , Daniel Menard , Olivier Sentieys
Workshop on Design and Architectures for Signal and Image Processing DASIP 2008, Nov 2008, Bruxelles, Belgium
Communication dans un congrès inria-00459278v1

Novel Cross-Transition Elimination Technique Improving Delay and Power Consumption for On-Chip Buses

Antoine Courtay , Johann Laurent , Olivier Sentieys , Nathalie Julien
International Workshop on Power and Timing Modeling, Optimization and Simulation. PATMOS 2008, Sep 2008, Lisbonne, Portugal. pp.359-368
Communication dans un congrès hal-00345737v1
Image document

Modélisation, Estimation et Optimisation de la consommation des interconnexions dans les SOC

Antoine Courtay , Johann Laurent , Nathalie Julien , Olivier Sentieys
GDR SOC SIP, Jun 2008, Paris, France
Communication dans un congrès hal-00294145v1

Bit Accurate Roundoff Noise Analysis of Fixed-point Linear Controllers

Thibault Hilaire , Daniel Menard , Olivier Sentieys
Computer-Aided Control Systems, 2008. CACSD 2008. IEEE International Conference on, Sep 2008, San Antonio, TX, United States
Communication dans un congrès inria-00459266v1

Cooperative communications between vehicles and intelligent road signs

Olivier Berder , Philippe Quémerais , Olivier Sentieys , Jérôme Astier , Tuan-Duc Nguyen
ITST 2008 "8th International Conference on ITS Telecommunications", Oct 2008, Phuket, Thailand. P1.7 (5 p.) - Session V2I & MANET
Communication dans un congrès hal-00403003v1

A New Approach of Coding to Improve Speed and Noise Tolerance of On-Chip Busses

Sébastien Pillement , Jm. Philippe , Olivier Sentieys
International Conference on Design and Technology of Integrated Systems in Nanoscale Era (DTIS), Mar 2008, Tozeur, Tunisia
Communication dans un congrès inria-00446626v1

New Directions in Interconnect Performance Optimization

Antoine Courtay , Johann Laurent , Nathalie Julien , Olivier Sentieys
3rd International Conference on Design and Technology of Integrated Systems in Nanoscale Era, 2008. DTIS 2008., Mar 2008, Tozeur, Tunisia. pp.6, ⟨10.1109/DTIS.2008.4540228⟩
Communication dans un congrès hal-00294132v1

Efficient space time combination technique for unsynchronized cooperative MISO transmission

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys
IEEE 67th Vehicular Technology Conference (VTC Spring 2008), May 2008, Marina Bay, Singapore. pp.629-633
Communication dans un congrès inria-00450967v1

Impact of transmission synchronization error and cooperative reception techniques on the performance of cooperative MIMO systems

Tuan-Duc Nguyen , Olivier Berder , Olivier Sentieys
IEEE International Conference on Communications (ICC), May 2008, Beijing, China. pp.4601-4605
Communication dans un congrès inria-00450970v1

MOREA : A Memory-Oriented Reconfigurable Embedded Architecture

Erwan Grace , Raphael David , Daniel Chillet , Olivier Sentieys
Design and Architectures for Signal and Image Processing, Nov 2008, Bruxelles, Belgium. pp.124-131
Communication dans un congrès inria-00450261v1

Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable SoC

Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Design and Architectures for Signal and Image Processing, Nov 2008, Bruxelles, Belgium. pp.92-99
Communication dans un congrès inria-00450262v1

Hardware Task Scheduling for Heterogeneous SoC Architectures

Imène Benkermi , Daniel Chillet , Sébastien Pillement , Olivier Sentieys
European Signal Processing Conference (EUSIPCO), 2007, Poznan, Poland
Communication dans un congrès inria-00536691v1

Evaluation analytique de la précision des systèmes en virgule fixe

Romuald Rocher , Daniel Ménard , Olivier Sentieys , Pascal Scalart
21ème Colloque GRETSI, Sep 2007, Troyes, France
Communication dans un congrès inria-00451422v1

Noise model for Accuracy Constraint Determination in Fixed-Point Systems

Daniel Menard , Romain Serizel , Romuald Rocher , Olivier Sentieys
Workshop on Design and Architectures for Signal and Image Processing DASIP 2007, Nov 2007, Grenoble, France
Communication dans un congrès inria-00459290v1

A Neural Network Model for Real-Time Scheduling on Heteregeneous SoC Architectures

Daniel Chillet , Sébastien Pillement , Olivier Sentieys
International Joint Conference on Neural Networks (IJCNN), 2007, Orlando, United States
Communication dans un congrès inria-00536722v1

Modélisation et estimation de la consommation des interconnexions dans les SOC

Antoine Courtay , Johann Laurent , Olivier Sentieys , Nathalie Julien
FTFC, May 2007, Paris, France. pp.121
Communication dans un congrès hal-00169256v1

Roundoff Noise Analysis of Finite Wordlength Realizations with the Implicit State-Space Framework

Thibault Hilaire , Daniel Menard , Olivier Sentieys
15th European Signal Processing Conference (EUSIPCO'07), Sep 2007, Poznan, Poland
Communication dans un congrès inria-00459286v1
Image document

Analytical Accuracy Evaluation of Fixed-Point Systems

Romuald Rocher , Daniel Ménard , Olivier Sentieys , Pascal Scalart
EUSIPCO, Sep 2007, Poznan, Poland. pp.999-1003
Communication dans un congrès inria-00454534v1

Modeling of Interconnection Networks in Massively Parallel Processor Architectures

Alexey Kupriyanov , Frank Hannig , Dmitrij Kissler , Jürgen Teich , Julien Lallet
International Conference on Architecture of Computing Systems, 2007, Zurich, Switzerland
Communication dans un congrès inria-00536724v1

Vers une implémentation matérielle d'un réseau de neurones pour le service d'ordonnancement des tâches au sein d'un SoC

Daniel Chillet , Sébastien Pillement , Olivier Sentieys
Colloque sur le Traitement du Signal et des Images (GRETSI), 2007, Troyes, France
Communication dans un congrès inria-00536702v1
Image document

Interconnexions et consommation: où en sommes nous?

Antoine Courtay , Olivier Sentieys , Nathalie Julien
MajecSTIC, Nov 2006, LORIENT, France. pp.Interconnexions et consommation: où en sommes nous?
Communication dans un congrès hal-00169249v1

Fixed-Point Configurable Hardware Components for Adaptive Filters

Romuald Rocher , Nicolas Hervé , Daniel Ménard , Olivier Sentieys
IEEE International Symposium on Circuits and Systems, Mar 2006, Kos Island, Greece. pp.57-60
Communication dans un congrès inria-00455568v1

Data wordlength optimization for FPGA synthesis,

Daniel Menard , Nicolas Hervé , Olivier Sentieys
IEEE Workshop on Signal Processing Systems Design and Implementationn, Nov 2005, Athens, Greece. ⟨10.1109/SIPS.2005.1579941⟩
Communication dans un congrès inria-00482912v1

Accuracy evaluation of fixed-point APA algorithm

Daniel Ménard , Romuald Rocher , Olivier Sentieys , Pascal Scalart
IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP'2005), Mar 2005, Philadelphia, United States
Communication dans un congrès inria-00482702v1
Image document

Synthèse d'architecture sur FPGA sous contrainte de précision des calculs

Nicolas Hervé , Daniel Ménard , Olivier Sentieys
MajecSTIC 2005 : Manifestation des Jeunes Chercheurs francophones dans les domaines des STIC, IRISA – IETR – LTSI, Nov 2005, Rennes, France. pp.100-108
Communication dans un congrès inria-00000853v1
Image document

Synthèse de l'interconnexion des mémoires dans un contexte de système intégré multi-processeurs

Lahcene Abdelouel , Daniel Chillet , Olivier Sentieys
MajecSTIC 2005 : Manifestation des Jeunes Chercheurs francophones dans les domaines des STIC, IRISA – IETR – LTSI, Nov 2005, Rennes, France. pp.93-99
Communication dans un congrès inria-00000675v1

Co-Design of Massively Parallel Embedded Processor Architectures

Frank Hannig , Hritam Dutta , Alexey Kupriyanov , Jürgen Teich , Rainer Schaffer
First ReCoSoc workshop, 2005, Montpellier, France
Communication dans un congrès hal-00083717v1
Image document

Prototype MIMO temps réel pour l'UMTS

Taofik Saïdi , Sébastien Roy , Olivier Sentieys
MajecSTIC 2005 : Manifestation des Jeunes Chercheurs francophones dans les domaines des STIC, IRISA – IETR – LTSI, Nov 2005, Rennes, pp.232-237
Communication dans un congrès inria-00000844v1

Compiler and system techniques for SOC distributed reconfigurable accelerators

Joël Cambonie , Sylvain Guérin , Ronan Keryell , Loïc Lagadec , Bernard Pottier
2004, pp.293-302
Communication dans un congrès hal-00083035v1

Automatic sqnr determination in non-linear and non-recursive fixed-point systems

Daniel Menard , Romuald Rocher , Pascal Scalart , Olivier Sentieys
XII. European Signal Processing Conference (EUSIPCO 2004), Sep 2004, Vienna, Austria
Communication dans un congrès inria-00482941v1

DSP Code Generation with Optimized Data Word-Length Selection

Daniel Menard , Olivier Sentieys
8th International Workshop on Software and Compilers for Embedded Systems SCOPES 2004, Sep 2004, Amsterdam, Netherlands. ⟨10.1007/b99901⟩
Communication dans un congrès inria-00482942v1

Accuracy evaluation of fixed-point LMS algorithm

Romuald Rocher , Daniel Menard , Olivier Sentieys , Pascal Scalart
Acoustics, Speech, and Signal Processing, 2004. Proceedings. (ICASSP '04). IEEE International Conference on, May 2004, Montreal, Canada. ⟨10.1109/ICASSP.2004.1327091⟩
Communication dans un congrès inria-00482940v1

Efficient implementation of a rake receiver on the TMS320C64x

Daniel Menard , Michel Guitton , Philippe Quémerais , Sébastien Pillement , Olivier Sentieys
Conference Record of the Thirty-Seventh Asilomar Conference on Signals, Systems and Computers, 2003, Nov 2003, Monterey, United States. ⟨10.1109/ACSSC.2003.1292363⟩
Communication dans un congrès inria-00482939v1

Automatic evaluation of the accuracy of fixed-point algorithms

Daniel Menard , Olivier Sentieys
Design, Automation and Test in Europe Conference and Exhibition, Mar 2002, Paris, France
Communication dans un congrès inria-00482931v1

Influence of fixed-point DSP architecture on computation accuracy.

Daniel Menard , Philippe Quémerais , Olivier Sentieys
XI European Signal Processing Conference (EUSIPCO 2002), Sep 2002, Toulouse, France
Communication dans un congrès inria-00482919v1

A methodology for evaluating the precision of fixed-point systems

Daniel Menard , Olivier Sentieys
IEEE International Conference on Acoustics, Speech, and Signal Processing, 2002. Proceedings. (ICASSP '02)., May 2002, Orlando, United States. pp.3152-3155, ⟨10.1109/ICASSP.2002.1005356⟩
Communication dans un congrès inria-00482913v1

Automatic floating-point to fixed-point conversion for DSP code generation

Daniel Menard , Daniel Chillet , François Charot , Olivier Sentieys
Proceedings of the 2002 international conference on Compilers, architecture, and synthesis for embedded systems CASES '02, Nov 2002, Grenoble, France. pp.270--276, ⟨10.1145/581630.581674⟩
Communication dans un congrès inria-00482916v1

Design and synthesis of behavioral level virtual components

Sébastien Pillement , Olivier Sentieys , Daniel Chillet , Emmanuel Casseau , Philippe Coussy
2001, pp.23-28
Communication dans un congrès hal-00077881v1

Fast ASIP synthesis and power estimation for DSP application

Jean Gabriel Cousin , Matthieu Denoual , David Saillé , Olivier Sentieys
IEEE Signal Processing Systems (SiPS), 2000, United States. pp.ISBN: 0-7803-6488-0, ⟨10.1109/SIPS.2000.886757⟩
Communication dans un congrès hal-00986447v1

Fast Power Estimation at the architectural Level

Matthieu Denoual , David Saillé , Jean Gabriel Cousin , Olivier Sentieys
Design of Circuits and Integrated Systems (DCIS), 2000, France. pp 1-9
Communication dans un congrès hal-00986449v1

PowerCheck : an architectural-level power estimation tool

Matthieu Denoual , David Saillé , Olivier Sentieys
Workshop on Multi-Architecture Low-Power Design (MALOPD), 1999, Moscou, Russia. pp 1-9
Communication dans un congrès hal-00986443v1
Image document

Comet: a RISC-V Core Synthesized from C++ Specifications

Simon Rokicki , Joseph Paturel , Olivier Sentieys
Spring 2022 RISC-V Week, May 2022, Paris, France
Poster de conférence hal-03885663v1
Image document

Experimental evaluation of neutron-induced errors on a RISC-V processor

Fernando Fernandes dos Santos , Angeliki Kritikakou , Olivier Sentieys
RISC-V Week 2022, May 2022, Paris, France
Poster de conférence hal-03903370v1
Image document

Estimating Power Loads from Partial Appliance States

Nicolas Roux , Baptiste Vrigneau , Olivier Sentieys
NILM 2018 - 4th International Workshop on Non-Intrusive Load Monitoring, Mar 2018, Austin, United States
Poster de conférence hal-01941877v1
Image document

The INRIA ZEP project: NVRAM and Harvesting for Zero Power Computations

Gautier Berthou , Arnaud Carer , Kevin Marquet , Ivan Miro-Panades , Davide Pala
NVMW 2018 - 10th Annual Non-Volatile Memories Workshop, Mar 2018, San Diego, United States. pp.1
Poster de conférence hal-01941766v1
Image document

Poster abstract: Fast and Energy-driven Design Space Exploration for Heterogeneous Architectures

Baptiste Roux , Matthieu Gautier , Olivier Sentieys , Jean-Philippe Delahaye
FCCM 2018 - 26th IEEE International Symposium on Field-Programmable Custom Computing Machines, Apr 2017, Napa, United States
Poster de conférence hal-01809560v1
Image document

Intégration d'un NoC optique au sein d'une architecture multi-coeurs

Daniel Chillet , Dung Pham Van , Cedric Killian , Olivier Sentieys , Sébastien Le Beux
2017 - XIIème Colloque National du GDR SoC-SiP, Jun 2017, Bordeaux, France. pp.1-2
Poster de conférence hal-01655420v1
Image document

POSTER: Wavelength Allocation for Efficient Communications on Optical Network-on-Chip

Jiating Luo , Van-Dung Pham , Cedric Killian , Daniel Chillet , Sébastien Le Beux
Conference on Design and Architectures for Signal and Image Processing, Oct 2016, Rennes, France. pp.1656 - 1658, 2016, ⟨10.1145/2810103.2810122⟩
Poster de conférence hal-01406328v1

Design of Fixed-Point Embedded Systems (defis) French ANR Project

Daniel Ménard , Romuald Rocher , Olivier Sentieys , Nicolas Simon , Laurent-Stéphane Didier
DASIP: Design and Architectures for Signal and Image Processing, Oct 2012, Karlsruhe, Germany. , pp.365-366, 2012
Poster de conférence hal-00822487v1
Image document

Approximations in Deep Learning

Etienne Dupuis , Silviu-Ioan Filip , Olivier Sentieys , David Novo , Ian O'Connor
Approximate Computing Techniques - From Component- to Application-Level, pp.467-512, 2022, 978-3-030-94704-0. ⟨10.1007/978-3-030-94705-7_15⟩
Chapitre d'ouvrage hal-03494874v1

General Introduction

Alberto Bosio , Daniel Menard , Olivier Sentieys
Approximate Computing Techniques, Springer International Publishing, 2022, ⟨10.1007/978-3-030-94705-7_1⟩
Chapitre d'ouvrage hal-04217760v1
Image document

Customizing Number Representation and Precision

Olivier Sentieys , Daniel Menard
Approximate Computing Techniques - From Component- to Application-Level, Springer, 2022, ⟨10.1007/978-3-030-94705-7_2⟩
Chapitre d'ouvrage hal-03494872v1
Image document

Analysis of Finite Word-Length Effects in Fixed-Point Systems

Daniel Ménard , Gabriel Caffarena , Juan Antonio Lopez , David Novo , Olivier Sentieys
Shuvra S. Bhattacharyya. Handbook of Signal Processing Systems, pp.1063-1101, 2019, 978-3-319-91733-7. ⟨10.1007/978-3-319-91734-4_29⟩
Chapitre d'ouvrage hal-01941888v1
Image document

Fixed-point refinement of digital signal processing systems

Daniel Menard , Gabriel Caffarena , Juan Antonio Lopez , David Novo , Olivier Sentieys
Digitally Enhanced Mixed Signal Systems, Chapter 1, The Institution of Engineering and Technology, pp.1-37, 2019, 978-1-78561-609-9. ⟨10.1049/PBCS040E_ch⟩
Chapitre d'ouvrage hal-01941898v1
Image document

Imprecise Computation Task Mapping on Multi-Core Wireless Sensor Networks

Lei Mo , Angeliki Kritikakou , Olivier Sentieys
Encyclopedia of Wireless Networks, pp.1 - 6, inPress, 978-3-319-32903-1. ⟨10.1007/978-3-319-32903-1_261-1⟩
Chapitre d'ouvrage hal-01900174v1

Rapid Prototyping for Video Coding over Flexible Radio Links

Matthieu Gautier , Emmanuel Casseau , Hervé Yviquel , Ganda Stephane Ouedraogo , Mickael Raulet
Multimedia over Cognitive Radio Networks : Algorithms, Protocols, and Experiments, CRC Press, 2014
Chapitre d'ouvrage hal-01095887v1

Energy-Latency Tradeoff of Opportunistic Routing

R. Zhang , Olivier Berder , Olivier Sentieys
Isaac Woungang, Sanjay Kumar Dhurandher, Alagan anpalagan, Thanos Vasilakos (Eds.). Routing in Opportunistic Networks, Springer, pp., x-hal =, 2013
Chapitre d'ouvrage hal-00742127v1

Efficacité énergétique : les technologies de l'information

Olivier Sentieys
Rémy Mosseri and Catherine Jeandel. L'énergie à découvert, CNRS Editions, pp.229-231, 2013, 978-2-271-07678-6
Chapitre d'ouvrage hal-00931675v1

Optimizing Energy Efficiency of Sensor Networks

Olivier Sentieys , Olivier Berder
Marc Belleville. Energy Autonomous Micro and Nano Systems, Wiley, 2013
Chapitre d'ouvrage hal-00742125v1

Optimisation énergétique des réseaux de capteurs

Olivier Sentieys , Olivier Berder
Marc Belleville. Micro et Nanosystèmes autonomes en énergie, Hermès - Lavoisier, pp.325-360, 2012
Chapitre d'ouvrage hal-00742126v1

Architectures reconfigurables FPGA

Olivier Sentieys , Arnaud Tisserand
Techniques de l'Ingénieur. Technologies logicielles Architectures des systèmes, H 1 196, Techniques de l'Ingénieur, pp.1-22, 2012
Chapitre d'ouvrage hal-00716772v1

RANN: A Reconfigurable Artificial Neural Network Model for Task Scheduling on Reconfigurable System-on-Chip

Daniel Chillet , Sébastien Pillement , Olivier Sentieys
G. Gogniat and D. Milojevic and A. Morawiec and A. T. Erdogan. Algorithm-Architecture Matching for Signal and Image Processing, Springer Verlag, 2010
Chapitre d'ouvrage inria-00480545v1