Accéder directement au contenu
NA

Nadine Azemard

19
Documents
Identifiants chercheurs

Présentation

Publications

todri
Image document

Oscillatory Neural Networks for Edge AI Computing

Corentin Delacour , Stefania Carapezzi , Madeleine Abernot , Gabriele Boschetto , Nadine Azemard
ISVLSI 2021 - IEEE Computer Society Annual Symposium on VLSI, Jul 2021, Tampa, United States. pp.326-331, ⟨10.1109/ISVLSI51109.2021.00066⟩
Communication dans un congrès lirmm-03229257v1
Image document

NeurONN: Neuromorphic Computing for Artificial Intelligence at the Edge

Stefania Carapezzi , Madeleine Abernot , Corentin Delacour , Nadine Azemard , Jérémie Salles
3rd AI Compute Symposium (IBM IEEE CAS/EDS), Oct 2020, Zurich (virtual), Switzerland
Communication dans un congrès lirmm-03009213v1
Image document

EU H2020 NEURONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic Computing

Aida Todri-Sanial , Stefania Carapezzi , Corentin Delacour , Madeleine Abernot , Eirini Karachristou
EFECS 2020 - European Forum for Electronic Components and Systems, Nov 2020, Brussels, Belgium
Communication dans un congrès lirmm-03024126v1
Image document

Stretchable Strain Sensors for Human Movement Monitoring

Abhishek Singh Dahiya , Thierry Gil , Nadine Azemard , Jérôme Thireau , Alain Lacampagne
DTIP 2020 - 22nd Symposium on Design, Test, Integration & Packaging of MEMS and MOEMS, Jun 2020, (Virtual ), France. ⟨10.1109/DTIP51112.2020.9139154⟩
Communication dans un congrès hal-02903236v1
Image document

Atomistic to circuit level modeling of defective doped SWCNTs with contacts for on-chip interconnect application

Jie Liang , Lee Jaehyun , Salim Berrada , Vihar P. Georgiev , Asenov Asen
NMDC: Nanotechnology Materials and Devices Conference, Oct 2017, Singapore, Singapore. pp.66-67, ⟨10.1109/NMDC.2017.8350506⟩
Communication dans un congrès lirmm-01880220v1

Synchronised 4-Phase Resonant Power Clock Supply for Energy Efficient Adiabatic Logic

Nicolas Jeanniot , Gaël Pillonnet , Pascal Nouet , Nadine Azemard , Aida Todri-Sanial
ICRC: International Conference on Rebooting Computing, Nov 2017, Washington, DC, United States. ⟨10.1109/ICRC.2017.8123661⟩
Communication dans un congrès lirmm-01768831v1
Image document

Physical description and analysis of doped carbon nanotube interconnects

Jie Liang , Liuyang Zhang , Nadine Azemard , Pascal Nouet , Aida Todri-Sanial
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2016, Brême, Germany. pp.250-255, ⟨10.1109/PATMOS.2016.7833695⟩
Communication dans un congrès lirmm-01457338v1

Statistical Energy Study for 28nm FDSOI Devices

Rida Kheirallah , Jean-Marc J.-M. Galliere , Aida Todri-Sanial , Gilles R. Ducharme , Nadine Azemard
EuroSimE: Thermal, Mechanical and Multi-Physics Simulation and Experiments in Microelectronics and Microsystems, Apr 2015, Budapest, Hungary. ⟨10.1109/EuroSimE.2015.7103149⟩
Communication dans un congrès lirmm-01168602v1

Digital Oscillatory Neural Networks for AI Edge Applications

Madeleine Abernot , Nadine Azemard , Aida Todri-Sanial
17e Colloque National du GDR SoC², Jun 2023, Lyon, France
Poster de conférence hal-04129966v1
Image document

Digital Oscillatory Neural Networks for AI Edge Applications

Madeleine Abernot , Corentin Delacour , Gabriele Boschetto , Stefania Carapezzi , Thierry Gil
16e Colloque National du GDR SoC², Jun 2022, Strasbourg, France.
Poster de conférence lirmm-03737606v1
Image document

EU H2020 NeurONN: Two-Dimensional Oscillatory Neural Networks for Energy Efficient Neuromorphic Computing

Aida Todri-Sanial , Thierry Gil , Nadine Azemard , Jérémie Salles , Eirini Karachristou
EuroNanoForum 2021, May 2021, Braga, Portugal
Poster de conférence hal-03364335v1

Mobile Robot Obstacle Avoidance with Oscillatory Neural Networks on FPGA

Madeleine Abernot , Thierry Gil , Corentin Delacour , Gabriele Boschetto , Stefania Carapezzi
IBM-IEEE AI Compute Symposium, Oct 2021, Virtual, France
Poster de conférence lirmm-03361187v1

Piezoelectric Sensors Based on 1D/2D Materials for Smart Health Monitoring IoT

Marwa Dhifallah , Jie Liang , Thierry Gil , Nadine Azemard , Benoît Charlot
13e Colloque National du GDR SoC², Jun 2019, Montpellier, France. , 2019
Poster de conférence lirmm-02132507v1

SmartVista: Smart Autonomous Multi Modal Sensors for Vital Signs Monitoring

Abhishek Singh Dahiya , Benoît Charlot , Marwa Dhifallah , Thierry Gil , Nadine Azemard
Workshop on ‘Smart Bioelectronic and Wearable Systems’, Oct 2019, Brussels, Belgium. , 2019
Poster de conférence lirmm-02387949v1