Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

111 résultats

A capacitor-less 1T-DRAM on SOI based on double gate operation

M. Bawedin , S. Cristoloveanu , D. Flandre
IEEE Electron Device Letters, 2008, 29 (7, 795-798)
Article dans une revue hal-00391609v1

Investigation of hysteresis memory effects in SOI FinFETs with ONO buried insulator.

S.-J. Chang , K.-I. Na , M. Bawedin , Y.-H. Bae , K.-H. Park , et al.
2010 IEEE International SOI Conference, Oct 2010, San Diego, California, United States
Communication dans un congrès hal-00604643v1

Evaluation of Interface Trap Density in Advanced SOI MOSFETs

Mayline Bawedin , Sorin Cristoloveanu , Sungjae Chang , Matteo Valenza , Frédéric Martinez , et al.
219th ECS Meeting, 2011, Montreal, Canada. pp.103-108, ⟨10.1149/1.3570783⟩
Communication dans un congrès hal-02066785v1

Parasitic bipolar effect in advanced FD SOI MOSFETs: experimental evidence and gain extraction

Fanyu Liu , Irina Ionica , Mayline Bawedin , Sorin Cristoloveanu,
10th EUROSOI Workshop, Jan 2014, Tarrogona, Spain
Communication dans un congrès hal-02008226v1

Low-Power Z2-FET Capacitorless 1T-DRAM

Mukta Singh Parihar , Kyung Hwa Lee , Hassan El Dirani , Carlos Navarro , Joris Lacord , et al.
2017 IEEE International Memory Workshop (IMW), May 2017, Monterey, United States. pp.103-106, ⟨10.1109/IMW.2017.7939093⟩
Communication dans un congrès hal-02007121v1

Mobility Investigation by Geometrical Magnetoresistance in Fully Depleted MOSFETs and FinFETs

Sung-Jae Chang , Mayline Bawedin , Sorin Cristoloveanu
IEEE Transactions on Electron Devices, 2014, 61 (6), pp.1979-1986. ⟨10.1109/TED.2014.2318516⟩
Article dans une revue hal-02003371v1

Sharp switching, hysteresis-free characteristics of Z 2 -FET for fast logic applications

K. Lee , H. El Dirani , P. Fonteneau , M. Bawedin , S. Sato , et al.
2018 ESSDERC - 48th European Solid-State Device Research Conference (ESSDERC), Sep 2018, Dresden, Germany. pp.74-77, ⟨10.1109/ESSDERC.2018.8486915⟩
Communication dans un congrès hal-02007707v1

Overestimation of Short-Channel Effects Due to Intergate Coupling in Advanced FD-SOI MOSFETs

Carlos Navarro , Mayline Bawedin , François Andrieu , Sorin Cristoloveanu
IEEE Transactions on Electron Devices, 2014, 61 (9), pp.3274 - 3281. ⟨10.1109/TED.2014.2338081⟩
Article dans une revue hal-01643109v1

Fully Depleted SOI Characterization by Capacitance Analysis of p-i-n Gated Diodes

Carlos Navarro , Mayline Bawedin , François Andrieu , Jacques Cluzel , Sorin Cristoloveanu
IEEE Electron Device Letters, 2015, 36 (1), pp.5 - 7. ⟨10.1109/LED.2014.2368596⟩
Article dans une revue hal-01644390v1

Doping profile extraction in thin SOI films: Application to A2RAM

F. Tcheme Wakam , J. Lacord , M. Bawedin , S. Martinie , S. Cristoloveanu , et al.
2018 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Mar 2018, Granada, Spain. pp.1-4, ⟨10.1109/ULIS.2018.8354339⟩
Communication dans un congrès hal-02050322v1

Effect of back gate on parasitic bipolar effect in FD SOI MOSFETs

Fanyu Liu , Irina Ionica , Mayline Bawedin , Sorin Cristoloveanu
2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2014, Millbrae, United States. pp.5.8, ⟨10.1109/S3S.2014.7028210⟩
Communication dans un congrès hal-02003967v1

The mystery of the Z 2 -FET 1T-DRAM memory

M. Bawedin , H. El Dirani , K. Lee , M. Parihar , J. Lacord , et al.
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.51-52, ⟨10.1109/ULIS.2017.7962598⟩
Communication dans un congrès hal-02007047v1

Body factor scaling in UTBB SOI with supercoupling effect

K.R.A. Sasaki , J.A. Martino , C. Navarro , M. Bawedin , F. Andrieu , et al.
2016 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2016, Vienna, Austria. pp.174-177, ⟨10.1109/ULIS.2016.7440081⟩
Communication dans un congrès hal-02006211v1

Competitive 1T-DRAM in 28 nm FDSOI technology for low-power embedded memory

H. El Dirani , M. Bawedin , K. Lee , M. Parihar , X. Mescot , et al.
2016 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2016, Burlingame, United States. pp.1-2, ⟨10.1109/S3S.2016.7804402⟩
Communication dans un congrès hal-02006297v1

Back-gated InGaAs-on-insulator lateral N+NN+ MOSFET: Fabrication and typical conduction mechanisms

H.J. Park , L. Pirro , L. Czornomaz , I. Ionica , M. Bawedin , et al.
Solid-State Electronics, 2017, 128, pp.80-86. ⟨10.1016/j.sse.2016.10.019⟩
Article dans une revue hal-02003226v1

Special characterization techniques for advanced FDSOI process

S. Cristoloveanu , M. Bawedin , I. Ionica
2015 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2015, Rohnert Park, United States. pp.9a.1, ⟨10.1109/S3S.2015.7333543⟩
Communication dans un congrès hal-02004273v1

Thickness characterization by capacitance derivative in FDSOI p-i-n gated diodes

C. Navarro , M. Bawedin , F. Andrieu , J. Cluzel , Y. Solaro , et al.
2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Jan 2015, Bologna, France. ⟨10.1109/ULIS.2015.7063745⟩
Communication dans un congrès hal-01758618v1

A surface potential based compact model for lightly doped FD SOI MOSFETs with ultra-thin body

J. El Husseini , Frédéric Martinez , M. Bawedin , M. Valenza , R. Ritzenthaler , et al.
12th International Conference on Ultimate Integration on Silicon (ULIS), Mar 2011, Cork, Ireland. pp.1-4, ⟨10.1109/ulis.2011.5757972⟩
Communication dans un congrès hal-02066661v1

Abnormal drain current (ADC) effect and its mechanism in FD SOI MOSFETs

J.G. Yun S. Ccristoloveanu M. Bawedin D. Flandre H.D. Lee
IEEE Electron Device Letters, 2006, 27, n± 2, pp.123-126
Article dans une revue hal-00145459v1

Scalability of MSD memory effect.

A. Hubert , S. Cristoloveanu , M. Bawedin , T. Ernst
Int. Conference on Ultimate Integration of Silicon, Aachen, Germany, Mar 2009, France. pp.139-142
Communication dans un congrès hal-00604223v1

Remote carrier trapping in FinFETs with ONO buried oxide : temperature effects

S.J. Chang , M. Bawedin , W. Xiong , J.H. Lee , S. Cristoloveanu
Microelectronics Reliability, 2013, 53 (Issue3), pp.386-393. ⟨10.1016/j.microrel.2012.10.002⟩
Article dans une revue istex hal-01019931v1

New concepts for 1T-DRAMs on SOI.

S. Cristoloveanu , M. Bawedin , N. Rodriguez , K.-H. Park , A. Hubert , et al.
Workshop on Innovative Memory Technology, MINATEC Crossroads'10, Jun 2010, Grenoble, France
Communication dans un congrès hal-00604943v1

Dynamic body potential variation in FD SOI MOSFETs operated in deep non-equilibrium regime : model and applications

M. Bawedin , S. Cristoloveanu , D. Flandre , F. Udrea
Solid-State Electronics, 2010, 54 (2), pp.104-114
Article dans une revue hal-00596349v1
Image document

Doping profile extraction in thin SOI films: Application to A2RAM

F. Tcheme Wakam , J. Lacord , M. Bawedin , S. Martinie , S. Cristoloveanu , et al.
Solid-State Electronics, 2019, 159, pp.3-11. ⟨10.1016/j.sse.2019.03.038⟩
Article dans une revue hal-02321935v1

Is there a kink effect in FDSOI MOSFETs?

H. Park , M. Bawedin , K. Sasaki , J-A. Martino , S. Cristoloveanu
2017 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon (EUROSOI-ULIS), Apr 2017, Athens, Greece. pp.212-215, ⟨10.1109/ULIS.2017.7962564⟩
Communication dans un congrès hal-02007196v1

New memory effect for fully depleted SOI MOSFET

M. Bawedin S. Cristoloveanu J.G. Yun D. Flandre
1st EUROSOI Workshop, 2005, XX, pp.XX
Article dans une revue hal-00146189v1

Modelling of Z2-FET memory cell

Sébastien Martinie , Joris Lacord , Olivier Rozeau , Mukta Singh Parihar , Kyunghwa Lee , et al.
Semiconductor Memories Workshop, 47th ESSDERC, Sep 2017, Leuven, Belgium
Communication dans un congrès hal-02009931v1

Beyond TFET: Alternative mechanisms for CMOS-compatible sharp-switching devices

S. Cristoloveanu , J. Wan , P. Ferrari , M. Bawedin , C. Navarro , et al.
2014 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2014, Millbrae, United States. pp.5.12, ⟨10.1109/S3S.2014.7028228⟩
Communication dans un congrès hal-02003987v1

a new photodetector on SOI

J. Liu , X.Y. Cao , Bingrui Lu , Yifang Chen , Alexander Zaslavsky , et al.
2018 IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (S3S), Oct 2018, San Francisco, United States. pp.18.5, ⟨10.1109/S3S.2018.8640169⟩
Communication dans un congrès hal-02010253v1

Sub-band modulated electronic transport in planar fully-depleted silicon-on-insulator MOSFETs

G. Umana-Membreno , S.-J. Chang , M. Bawedin , J. Antoszewski , S. Cristoloveanu , et al.
2014 Conference on Optoelectronic and Microelectronic Materials & Devices (COMMAD), Dec 2014, Perth, Australia. pp.294-297, ⟨10.1109/COMMAD.2014.7038715⟩
Communication dans un congrès hal-02004000v1