Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

200 résultats

Method to increase the switching speed of MOS transistors by dynamic bias of the bulk

F. Mitu , G. Brezeanu , G. Dilimot , Lorena Anghel , I. Enache
1995-International-Semiconductor-Conference.-CAS'95-Proceedings-Cat.-No.95TH8071, 1995, Sinaia, France. pp.241-4, ⟨10.1109/SMICND.1995.494907⟩
Communication dans un congrès hal-00015787v1

On the Dependability of 3D Interconnects

Lorena Anghel
Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes embarqués Hétérogènes (FETCH'12), Jan 2012, Alpes d'Huez, France
Communication dans un congrès hal-00677047v1
Image document

Dependable Multicore Architectures at Nanoscale: The View From Europe

M. Ottavi , S. Pontarelli , D. Gizopoulos , C. Bolchini , M.K. Michael , et al.
IEEE Design & Test, 2015, 32 (2), pp.17-28. ⟨10.1109/MDAT.2014.2359572⟩
Article dans une revue hal-01334167v1

Binary Bayesian Neural Networks for Efficient Uncertainty Estimation Leveraging Inherent Stochasticity of Spintronic Devices

Soyed Tuhin Ahmed , Kamal Danouchi , Christopher Münch , Guillaume Prenat , Anghel Lorena , et al.
NANOARCH '22: 17th ACM International Symposium on Nanoscale Architectures, Dec 2022, Virtual OR USA, United States. pp.1-6, ⟨10.1145/3565478.3572536⟩
Communication dans un congrès hal-04149770v1

Design-time exploration for process, environment and aging compensation techniques for low power reliable-Aware design

Lorena Anghel , Florian Cacho
IEEE Transactions on Emerging Topics in Computing, 2022, 10 (2), pp.581-590. ⟨10.1109/TETC.2021.3136288⟩
Article dans une revue hal-03599345v1

Managing Aging Induced Reliability at Run-time

Lorena Anghel
7th Workshop on Cross-layer Resiliency (IWCR'2019), Jul 2019, Stuttgart, Germany
Communication dans un congrès hal-02457526v1

Neuromorphic Circuits

Lorena Anghel
L’Intelligence Naturelle au cœur des enjeux de l’Intelligence Artificielle – Les atouts du site grenoblois, Jul 2018, Grenoble, France
Communication dans un congrès hal-02473890v1

Asynchronous Current Monitors for Transient Fault Detection in Deep Submicron CMOS

T. Calin , Lorena Anghel , M. Nicolaidis
4th IEEE International On-Line Testing Workshop (IOLTW'98), Jul 1998, Capri, Italy
Communication dans un congrès hal-01413136v1

Application-independent testing of multilevel interconnect in mesh-based FPGAs

Saif-Ur Rehman , Mounir Benabdenbi , Lorena Anghel
IEEE 10th International Conference on Design and Technologies for Integrated System in Nanoscale (DTIS'15), Apr 2015, Naples, Italy. pp.1-6
Communication dans un congrès hal-01400596v1

Designing Single Chip Massively Parallel Processors Affected by Extreme Failure Rates

M. Nicolaidis , Lorena Anghel , Nacer-Eddine Zergainoh , D. Avresky
Design, Automation & Test in Europe Conference & Exhibition (DATE'12), Mar 2012, Dresden, Germany
Communication dans un congrès hal-01408773v1

On the Dependability of 3D Interconnects

Lorena Anghel , M. Nicolaidis , V. Pasca
Dependability Issues in Deep-submicron Technologies Workshop (DDT'11), May 2011, Trondheim, Norway
Communication dans un congrès hal-00650195v1

Checkpoint and rollback recovery in network-on-chip based systems

C. Rusu , Lorena Anghel
Student forum at 15th Asia and South Pacific Design Automation Conference (ASP-DAC'10), Jan 2010, Taipei, Taiwan
Communication dans un congrès hal-00505319v1

Resistance Increase Due to Electromigration Induced Depletion Under TSV

T. Frank , C. Chappaz , P. Leduc , L. Arnaud , S. Moreau , et al.
IEEE International Reliability Physics Symposium (IRPS'11), Monterey, CA, USA, April 10-14, Apr 2011, Monterey, ca., United States. pp.3F.4.1 - 3F.4.6, ⟨10.1109/IRPS.2011.5784499⟩
Communication dans un congrès hal-00599391v1

Transient and permanent fault tolerance memory cells for unreliable future nanotechnologies

Lorena Anghel , E. Kolonis , M. Nicolaidis
IEEE Latin American Test Workshop (LATW'05), Mar 2005, Salvador Bahia, Brazil. pp.187-192
Communication dans un congrès hal-00457112v1

Efficient Fault-Tolerant Adaptive Routing under an unconstrained Set of Node and Link Failures for Many Cores System On Chip

M. Dimopoulos , Yi Gang , Mounir Benabdenbi , Lorena Anghel
Workshop on Dependable Multicore and Transactional Memory Systems (DMTM'14), (joint to HIPEAC event), Jan 2014, Vienna, Austria. pp.1-2
Communication dans un congrès hal-01128367v1

Improving the Scalability of Checkpoint Recovery for Networks-on-Chip

C. Rusu , C. Grecu , Lorena Anghel
IEEE International Symposium on Circuits and Systems (ISCAS'08), Seattle, May 2008, Washington, United States. pp.2793-2796, ⟨10.1109/ISCAS.2008.4542037⟩
Communication dans un congrès hal-00378206v1

A Transistor Placement Technique Using Genetic Algorithm And Analytical Programming

C. Lazzari , Lorena Anghel , Ricardo Reis
VLSI-SOC: From Systems to Silicon, (selected contributions from VLSI-SoC'05), Springer, pp.331-344, Vol.240, 2007, Series: IFIP International Federation for Information Processing, ⟨10.1007/978-0-387-73661-7_21⟩
Chapitre d'ouvrage hal-00191996v1

A Diversified Memory Built-In Self-Repair Approach for Nanotechnologies

M. Nicolaidis , M.N. Achouri , Lorena Anghel
22nd IEEE VLSI Test Symposium, 2004, Napa Valley, United States. pp.313, ⟨10.1109/VTEST.2004.1299258⟩
Communication dans un congrès hal-00005750v1

Configurable Serial Fault-Tolerant Link for Communication in 3D Integrated Systems

V. Pasca , Lorena Anghel , C. Rusu , Mounir Benabdenbi
International On-Line Test Symposium (IOLTS'10), Jul 2010, Corfu, Greece. pp.115-120
Communication dans un congrès hal-00505276v1

Reliability approach of high density Through Silicon Via (TSV)

T. Frank , C. Chappaz , P. Leduc , L. Arnaud , S. Moreau , et al.
12th Electronics Packaging Technology Conference (EPTC'10), Dec 2010, Singapore, Singapore. pp.321 - 324, ⟨10.1109/EPTC.2010.5702655⟩
Communication dans un congrès hal-00599560v1
Image document

Backpropagation-based learning techniques for deep spiking neural networks: a survey

Manon Dampfhoffer , Thomas Mesquida , Alexandre Valentian , Lorena Anghel
IEEE Transactions on Neural Networks and Learning Systems, 2023, pp.1-16. ⟨10.1109/TNNLS.2023.3263008⟩
Article dans une revue hal-04064177v1
Image document

Spin Orbit Torque-based Crossbar Array for Error Resilient Binary Convolutional Neural Network

Kamal Danouchi , Guillaume Prenat , Lorena Anghel
23RD IEEE LATIN-AMERICAN TEST SYMPOSIUM, Sep 2022, Montevideo, Uruguay
Communication dans un congrès hal-03834907v1
Image document

Circuit Design Steps for Nano-Crossbar Arrays: Area-Delay-Power Optimization with Fault Tolerance

Muhammed Ceylan Morgül , L. Frontini , O. Tunali , Lorena Anghel , V. Ciriani , et al.
IEEE Transactions on Nanotechnology, 2020, pp.39-53. ⟨10.1109/TNANO.2020.3044017⟩
Article dans une revue hal-03065940v1

Early system failure prediction by using aging in situ monitors: Methodology of implementation and application results

Lorena Anghel , A. Benhassain , A. Sivadasan
IEEE 34th VLSI Test Symposium (VTS'16), Apr 2016, Las Vegas, NE, United States
Communication dans un congrès hal-01357213v1

An Analysis and Design Technique to Reduce SET Sensitivity in Combinational Integrated Circuits

C. Lazzari , T. Assis , F. Kastensmidt , G. Wirth , Lorena Anghel , et al.
16th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-Soc'08), Oct 2008, Rhodes Island, Greece. pp.114-117
Communication dans un congrès hal-01408783v1

I-BIRAS: « Interconnect Built-In Self-Repair and Adaptive-Serialization

M. Nicolaidis , Lorena Anghel , V. Pasca
International Test Conference Workshop on Test of 3D Stacked Systems (3D-TEST), Nov 2010, Austin, TX, United States
Communication dans un congrès hal-01408780v1
Image document

From 1.8V to 0.19V voltage bias on analog spiking neuron in 28nm UTBB FD-SOI technology

V. Cinçon , Elena Ioana Vatajelu , Lorena Anghel , Philippe Galy
EUROSOI-ULIS 2020, Sep 2020, Caen, France
Communication dans un congrès hal-03001733v1

Robustness of Timing in-situ Monitors for AVS Management

A. Benhassain , F. Cacho , V. Huard , S. Mhira , Lorena Anghel , et al.
IEEE International Reliability Physics Semiconductor (IRPS'16), Apr 2016, Passadena, United States
Communication dans un congrès hal-01474794v1

Moniteurs de fiabilité embarqués en technologie FDSOI: Implémentation et Applications

Lorena Anghel
Ecole d'hiver Francophone sur les Technologies de Conception des Systèmes Embarqués Hétérogènes (FETCH'16), Jan 2016, Vilard de Lans, France
Communication dans un congrès hal-01474809v1

Electromigration Behavior of 3D-IC TSV

T. Frank , C. Chappaz , P. Leduc , L. Arnaud , S. Moreau , et al.
Second IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (3D IC), in conjuction with ITC, Sep 2011, Anaheim, United States
Communication dans un congrès hal-00651930v1