Accéder directement au contenu

Loic Lagadec

122
Documents

Présentation

Publications

A Dominating Tree Based Leader Election Algorithm for Smart Cities IoT Infrastructure

Nabil Kadjouh , Ahcène Bounceur , Madani Bezoui , Mohamed Essaid Khanouche , Reinhardt Euler
Mobile Networks and Applications, 2023, 28, pp.718-731. ⟨10.1007/s11036-020-01599-z⟩
Article dans une revue hal-02924057v1
Image document

Finding the polygon hull of a network without conditions on the starting vertex

Ahcène Bounceur , Madani Bezoui , Mohammad Hammoudeh , Loïc Lagadec , Reinhardt Euler
Transactions on emerging telecommunications technologies, 2022, 33 (3), pp.e3696. ⟨10.1002/ett.3696⟩
Article dans une revue hal-02303242v1
Image document

Automated Exploration of Homomorphic Encryption Scheme Input Parameters  

Cyrielle Feron , Loïc Lagadec , Vianney Lapotre
Journal of Information Security and Applications, 2020, 55, pp.102627. ⟨10.1016/j.jisa.2020.102627⟩
Article dans une revue hal-02960569v2

Advances in Smalltalk technologies

Loïc Lagadec , Anne Etien , Jannik Laval
Science of Computer Programming, 2020, 199, pp.102518. ⟨10.1016/j.scico.2020.102518⟩
Article dans une revue hal-02960557v1

A Unified Design Flow to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerators

Mohamed Ben Hammouda , Philippe Coussy , Loïc Lagadec
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 36 (3), pp.384-397. ⟨10.1109/TCAD.2016.2587278⟩
Article dans une revue hal-01405531v1
Image document

Extended overlay architectures for heterogeneous FPGA cluster management

Mohamad Najem , Théotime Bollengier , Jean-Christophe Le Lann , Loïc Lagadec
Journal of Systems Architecture, 2017, 78, pp.1-14. ⟨10.1016/j.sysarc.2017.06.001⟩
Article dans une revue hal-01643297v1
Image document

TBES: Template-Based Exploration and Synthesis of Heterogeneous Multiprocessor Architectures on FPGA

Youenn Corre , Jean-Philippe Diguet , Dominique Heller , Dominique Blouin , Loïc Lagadec
ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (1), pp.9
Article dans une revue hal-01172103v1

Model-Driven Toolset for Embedded Reconfigurable Cores: Flexible Prototyping and Software-like Debugging

Loic Lagadec , Ciprian Teodorov , Jean-Christophe Le Lann , Damien Picard , Erwan Fabiani
Science of Computer Programming, 2014, pp.1. ⟨10.1016/j.scico.2014.02.015⟩
Article dans une revue hal-00998533v1

Model-driven physical-design automation for FPGAs: fast prototyping and legacy reuse

Ciprian Teodorov , Loic Lagadec
Software: Practice and Experience, 2013, 44 (4), pp.455-482. ⟨10.1002/spe.2190⟩
Article dans une revue hal-00782814v1
Image document

Les observatoires du trait de côte en France métropolitaine et dans les DOM

Serge S. Suanez , Manuel Garcin , Thomas Bulteau , Mathias Rouan , Loïc Lagadec
EchoGéo, 2012, 19, ⟨10.4000/echogeo.12942⟩
Article dans une revue hal-00657607v1

Experiment Centric Teaching for Reconfigurable Processors

Loic Lagadec , Damien Picard , Youenn Corre , Pierre-Yves Lucas
International Journal of Reconfigurable Computing, 2011, 2011, pp.952560. ⟨10.1155/2011/952560⟩
Article dans une revue hal-00598854v1

Toolset for Nano Reconfigurable Computing

Loïc Lagadec , Bernard Pottier , Damien Picard
Micro electronics journal, 2009, 40 (4-5), pp.665-672
Article dans une revue hal-00487887v1

Multi-Level Simulation of Heterogeneous Reconfigurable Platforms

Damien Picard , Loïc Lagadec
International Journal of Reconfigurable Computing, 2009, 2009, pp.12. ⟨10.1155/2009/162416⟩
Article dans une revue hal-00487883v1

Towards a Framework for Designing Applications onto hybrid nano/CMOS fabrics

Catherine Dezan , Ciprian Teodorov , Loïc Lagadec , Michael Leuchtenburg , Teng Wang
Microelectronics Journal, 2009, 40 (4-5), pp.656-664. ⟨10.1016/j.mejo.2008.07.072⟩
Article dans une revue hal-00379170v1

Synthèse portable pour micro-architectures à grain fin. Application aux turbo-décodeurs et nano-fabriques.

Catherine Dezan , Erwan Fabiani , Christophe Gouyen , Loïc Lagadec , Bernard Pottier
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2006, 25, pp.893-920
Article dans une revue hal-00083721v1
Image document

Placing, Routing, and Editing Virtual FPGAs

Loïc Lagadec , Dominique Lavenier , Erwan Fabiani , Bernard Pottier
Transactions on Computational Science, 2001
Article dans une revue hal-02013412v1

Object-oriented meta tools for reconfigurable architectures

Loïc Lagadec , Bernard Pottier
Proceedings of SPIE, the International Society for Optical Engineering, 2000
Article dans une revue hal-02133775v1
Image document

RISC-V Embedded AI for IDS Applications

Pierre Garreau , Pascal Cotret , Julien Francq , Jean-Christophe Cexus , Loïc Lagadec
RESSI 2024 : Rendez-vous de la Recherche et de l'Enseignement de la Sécurité des Systèmes d'Information, May 2024, Eppe-Sauvage, France
Communication dans un congrès hal-04498047v1
Image document

JIT Compiler Security through Low-Cost RISC-V Extension

Quentin Ducasse , Pascal Cotret , Loïc Lagadec
30th Reconfigurable Architectures Workshop, May 2023, St Petersburg (Florida), United States
Communication dans un congrès hal-04031296v1

Debugging Paxos in the UML Multiverse

Matthias Pasquier , Ciprian Teodorov , Frédéric Jouault , Matthias Brun , Loïc Lagadec
MODELS-C/MoDeVVa, Oct 2023, Västerås, Sweden
Communication dans un congrès hal-04234688v1

Débogage Multivers de Modèles UML

Matthias Pasquier , Ciprian Teodorov , Frédéric Jouault , Matthias Brun , Loïc Lagadec
AFADL, Jun 2023, Rennes, France
Communication dans un congrès hal-04234535v1

Temporal Breakpoints for Multiverse Debugging

Matthias Pasquier , Ciprian Teodorov , Frédéric Jouault , Matthias Brun , Luka Le Roux
Software Language Engineering 2023, Oct 2023, Lisbonne, Portugal
Communication dans un congrès hal-04234632v1
Image document

TrustSoC: Light and Efficient Heterogeneous SoC Architecture, Secure-by-design

Raphaële Milan , Lilian Bossuet , Loïc Lagadec , Carlos Andres Lara-Nino , Brice Colombier
2023 Asian Hardware Oriented Security and Trust Symposium (AsianHOST), Dec 2023, Tianjin, China. pp.1-6, ⟨10.1109/AsianHOST59942.2023.10409311⟩
Communication dans un congrès hal-04419064v1
Image document

TrustSoC : Architecture SoC hétérogène légère et efficace sécurisée par conception

Raphaele Milan , Lilian Bossuet , Loïc Lagadec , Carlos Andres Lara-Nino
Conférence francophone d’informatique en Parallélisme, Architecture et Système (COMPAS), LISTIC - Laboratoire d’Informatique, Systèmes, Traitement de l’Information et de la Connaissance, Jul 2023, Annecy, France
Communication dans un congrès hal-04213598v1
Image document

Secured-by-design systems-on-chip: a MBSE Approach

Raphaële Milan , Loïc Lagadec , Théotime Bollengier , Lilian Bossuet , Ciprian Teodorov
Rapid System Prototyping, Sep 2023, Hambourg, Germany
Communication dans un congrès hal-04373771v1

An Extended Modeling Approach for Marine/Deep-Sea Observatory

Charbel Geryes Aoun , Loïc Lagadec , Mohammad Habes
The 8th International Conference on Advanced Machine Learning and Technologies and Applications (AMLTA2022), May 2022, The Caire, Egypt. pp.502-514, ⟨10.1007/978-3-031-03918-8_42⟩
Communication dans un congrès hal-03687138v1

Dolmen: FPGA Swarm for Safety and Liveness Verification

Emilien Fournier , Ciprian Teodorov , Loïc Lagadec
2022 Design, Automation & Test in Europe Conference & Exhibition (DATE), Mar 2022, Antwerp, Belgium. pp.1425-1430, ⟨10.23919/DATE54114.2022.9774528⟩
Communication dans un congrès hal-03708237v1

Practical multiverse debugging through user-defined reductions

Matthias Pasquier , Ciprian Teodorov , Frédéric Jouault , Matthias Brun , Luka Le Roux
MODELS '22: ACM/IEEE 25th International Conference on Model Driven Engineering Languages and Systems, Oct 2022, Montreal Quebec Canada, Canada. pp.87-97, ⟨10.1145/3550355.3552447⟩
Communication dans un congrès hal-03891589v1
Image document

Porting a JIT Compiler to RISC-V: Challenges and Opportunities

Quentin Ducasse , Guillermo Polito , Pablo Tesone , Pascal Cotret , Loïc Lagadec
Proceedings of the 19th International Conference on Managed Programming Languages and Runtimes (MPLR '22), Sep 2022, Brussels, Belgium
Communication dans un congrès hal-03725841v1

Carnac: Algorithm Variability for Fast Swarm Verification on FPGA

Emilien Fournier , Ciprian Teodorov , Loïc Lagadec
2021 31st International Conference on Field-Programmable Logic and Applications (FPL), Aug 2021, Dresden, Germany. pp.185-189, ⟨10.1109/FPL53798.2021.00038⟩
Communication dans un congrès hal-03550389v1

Securing a high-level language virtual machine through its ISA: Pharo as a case study

Quentin Ducasse , Pascal Cotret , Loïc Lagadec
GDR SoC², Jun 2021, Rennes, France
Communication dans un congrès hal-04469651v1

Efficient Leader Election in Wireless Sensor and IoT Networks

Reinhardt Euler , Ahcène Bounceur , Madani Bezoui , Mohammad Hammoudeh , Loïc Lagadec
31st European Conference on Operational Research (EURO 2021), Jul 2021, Athens, Greece
Communication dans un congrès hal-03410822v1

Prototyping FPGA through overlays

Theotime Bollengier , Loïc Lagadec , Ciprian Teodorov
2021 IEEE International Workshop on Rapid System Prototyping (RSP), Oct 2021, Paris, France. pp.15-21, ⟨10.1109/RSP53691.2021.9806222⟩
Communication dans un congrès hal-03761788v1
Image document

Benchmarking Quantized Neural Networks on FPGAs with FINN

Quentin Ducasse , Pascal Cotret , Loïc Lagadec , Rob Stewart
DATE Friday Workshop on System-level Design Methods for Deep Learning on Heterogeneous Architectures, Feb 2021, Grenoble, France
Communication dans un congrès hal-03085342v1

Menhir: Generic High-Speed FPGA Model-Checker

Émilien Fournier , Ciprian Teodorov , Loïc Lagadec
2020 23rd Euromicro Conference on Digital System Design (DSD), Aug 2020, Kranj, Slovenia. pp.65-72, ⟨10.1109/DSD51259.2020.00022⟩
Communication dans un congrès hal-03028409v1
Image document

Temperature-based models of batteries for the simulation of Wireless Sensor Networks

Nabil Kadjouh , Ahcène Bounceur , Abdelkamel Tari , Reinhardt Euler , Loïc Lagadec
3rd International Conferenceon on Future Networks and Distributed Systems, Jul 2019, Paris, France. pp.1-6, ⟨10.1145/3341325.3342013⟩
Communication dans un congrès hal-02303250v1

Designing a combined personal communicator and data entry terminal for disaster relief & remote operations

Paul Gardner-Stephen , Angus Wallace , Lucas Moss , Loïc Lagadec , Matthew Lloyd
IEEE Global Humanitarian Technology Conference (GHTC), Oct 2019, Seattle, WA, United States
Communication dans un congrès hal-02553261v1
Image document

A New Leader Election Algorithm based on the WBS Algorithm Dedicated to Smart-cities

Nabil Kadjouh , Ahcène Bounceur , Abdelkamel Tari , Loïc Lagadec , Reinhardt Euler
3rd International Conference on Future Networks and Distributed Systems (ICFNDS '19), Jul 2019, Paris, France. pp.1-5, ⟨10.1145/3341325.3342014⟩
Communication dans un congrès hal-02303247v2
Image document

CupCarbon-Lab: An IoT Emulator

Ahcène Bounceur , Olivier Marc Marc , Massinissa Lounis , Julien Soler , Laurent Clavier
IEEE Consumer Communications & Networking Conference, Jan 2018, Las Vegas, United States. ⟨10.1109/CCNC.2018.8319313⟩
Communication dans un congrès hal-01704422v1

An Integrated Toolchain for Overlay-centric System-on-chip

Jean-Christophe Le Lann , Théotime Bollengier , Mohamad Najem , Loïc Lagadec
13th International Symposium on Reconfigurable Communication-centric Systems-on-Chip 2018 (ReCoSoC 2018), Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449388⟩
Communication dans un congrès hal-01867638v1
Image document

Detecting Gaps and Voids in WSNs and IoT Networks: the Angle-based Method

Madani Bezoui , Ahcène Bounceur , Loïc Lagadec , Reinhardt Euler , Hammoudeh Mohammad
International Conference on Future Networks and Distributed Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231089⟩
Communication dans un congrès hal-01836035v1
Image document

Detecting Gaps and Voids in WSNs and IoT Networks: the Minimum x-Coordinate based Method

Ahcène Bounceur , Madani Bezoui , Loïc Lagadec , Reinhardt Euler , Abdelkader Laouid
International Conference on Future Networks and Distr buted Systems (ICFNDS), Jun 2018, Amman, Jordan. ⟨10.1145/3231053.3231090⟩
Communication dans un congrès hal-01829227v1
Image document

Fast Evaluation of Homomorphic Encryption Schemes Based on Ring-LWE

Cyrielle Feron , Vianney Lapotre , Loïc Lagadec
2018 9th IFIP International Conference on New Technologies, Mobility and Security (NTMS), Feb 2018, Paris, France. ⟨10.1109/NTMS.2018.8328693⟩
Communication dans un congrès hal-01757093v1
Image document

DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks

Ahcène Bounceur , Madani Bezoui , Loïc Lagadec , Reinhardt Euler , Abdelkader Laouid
4th International Conference on Mobile, Secure and Programmable Networking (MSPN 2018), Jun 2018, Paris, France. pp.42-53
Communication dans un congrès hal-01829251v1
Image document

PAnTHErS: A Prototyping and Analysis Tool for Homomorphic Encryption Schemes

Cyrielle Feron , Vianney Lapotre , Loïc Lagadec
SECRYPT: 14th International Conference on Security and Cryptography, Jul 2017, Madrid, Spain
Communication dans un congrès hal-01595789v1

A High Abstraction Level Constraint for Object Localization in Marine Observatories

Joël Champeau , Loïc Lagadec , Charbel Geryes Aoun , Jad Moussa , Elio Hanna
CSCI 2017, Dec 2017, Las Vegas, United States
Communication dans un congrès hal-01653617v1
Image document

Soft timing closure for soft programmable logic cores: The ARGen approach

Théotime Bollengier , Loïc Lagadec , Mohamad Najem , Jean-Christophe Le Lann , Pierre Guilloux
ARC 2017 - 13th International Symposium on Applied Reconfigurable Computing, Delft University of Technology Apr 2017, Delft, Netherlands
Communication dans un congrès hal-01475251v1

Asserting causal properties in High Level Synthesis

Erwan Fabiani , Loïc Lagadec , Mohamed Ben Hammouda , Ciprian Teodorov
2017 IEEE 2nd International Verification and Security Workshop (IVSW), Jul 2017, Thessaloniki, Greece
Communication dans un congrès hal-01623030v1

A Cost-effective Approach for Efficient Time-sharing of Reconfigurable Architectures

Mohamad Najem , Théotime Bollengier , Jean-Christophe Le Lann , Loïc Lagadec
FPGA4GPC'2017, May 2017, Hambourg, Germany. ⟨10.1109/FPGA4GPC.2017.8008959⟩
Communication dans un congrès hal-01656613v1
Image document

Overlay Architectures for Heterogeneous FPGA Cluster Management.

Théotime Bollengier , Mohamad Najem , Jean-Christophe Le Lann , Loïc Lagadec
DASIP 2016, Oct 2016, Rennes, France
Communication dans un congrès hal-01405890v1

Speeding Up Robot Control Software Through Seamless Integration With FPGA

Xuan Sang Le , Luc Fabresse , Jannik Laval , Jean-Christophe Le Lann , Loïc Lagadec
SHARC'16, Jun 2016, Brest, France
Communication dans un congrès hal-01480221v1

ZeFF : Une plateforme pour l’intégration d’architectures overlay dans le Cloud

Théotime Bollengier , Mohamad Najem , Jean-Christophe Le Lann , Loïc Lagadec
COMPAS 2016, Jul 2016, Lorient, France
Communication dans un congrès hal-01405860v1
Image document

Overlay Architectures For FPGA Resource Virtualization

Théotime Bollengier , Mohamad Najem , Jean-Christophe Le Lann , Loïc Lagadec
GDR SOC SIP, Jun 2016, Nantes, France
Communication dans un congrès hal-01405912v1

CaRDIN: An Agile Environment for EdgeComputing on Reconfigurable Sensor Networks

Xuan Sang Le , Jean-Christophe Le Lann , Loïc Lagadec , Luc Fabresse , Noury Bouraqadi
3rd IEEE International Conference on Computational Science and Computational Intelligence (CSCI 2016), Dec 2016, Las Vegas, United States
Communication dans un congrès hal-01406641v1

Role Framework to Support Collaborative Virtual Prototyping of System of Systems

Jean-Philippe Schneider , Joël Champeau , Loïc Lagadec , Eric Senn
WETICE 2015, IEEE, Jun 2015, Larnaca, Cyprus
Communication dans un congrès hal-01192720v1

A Role Language to Interpret Multi-Formalism System of Systems Models

Jean-Philippe Schneider , Joël Champeau , Ciprian Teodorov , Eric Senn , Loic Lagadec
Syscon 2015, IEEE, Apr 2015, Vancouver, Canada
Communication dans un congrès hal-01156518v1

Fast Prototyping of a New Reconfigurable Architecture : Toward Tailored Space FPGA

Chagun Basha Basheer Ahmed , Sébastien Pillement , Loïc Lagadec , Arnaud Tisserand
Conférence d’informatique en Parallélisme, Architecture et Système (Compas), Jun 2015, Villeneuve d'Ascq, France. pp.10
Communication dans un congrès hal-01153568v1
Image document

A Meta Model Supporting both Hardware and Smalltalk-based Execution of FPGA Circuits

Xuan Sang Le , Loic Lagadec , Luc Fabresse , Jannik Laval , Noury Bouraqadi
IWST 2015, ESUG, Jul 2015, Bressia, Italy. ⟨10.1145/2811237.2811296⟩
Communication dans un congrès hal-01179466v1

A Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators

Mohamed Ben Hammouda , Philippe Coussy , Loic Lagadec
ISCAS 2014 - IEEE International Symposium on Circuits and Systems, May 2014, Melbourne, Australia. pp.XX
Communication dans un congrès hal-00944548v1

Une approche de conception pour générer automatiquement des moniteurs sur puce pendant la synthèse de haut niveau d'accélérateurs matériels

Mohamed Ben Hammouda , Philippe Coussy , Loïc Lagadec
COMPAS 2014 - Conférence en Parallélisme, Architecture et Système, Apr 2014, Neuchâtel, Suisse
Communication dans un congrès hal-00989918v1
Image document

FPGAs virtuels : enjeux et usages

Loïc Lagadec
COMPAS 2014, Apr 2014, Neuchâtel, Switzerland
Communication dans un congrès hal-00989984v1
Image document

From Smalltalk to Silicon: Towards a methodology to turn Smalltalk code into FPGA

Le Xuan Sang , Loïc Lagadec , Luc Fabresse , Jannik Laval , Noury Bouraqadi
IWST 14, Aug 2014, Cambridge, United Kingdom
Communication dans un congrès hal-01326520v1

A Design Approach to Automatically Generate On-Chip Monitors during High-Level Synthesis of Hardware Accelerator

Mohamed Ben Hammouda , Philippe Coussy , Loic Lagadec
GLSVLSI 2014, May 2014, Houston, United States
Communication dans un congrès hal-01009551v1

Design Approach to Automatically Synthesize ANSI-C Assertions during High-Level Synthesis of Hardware Accelerators

Mohamed Ben Hammouda , Philippe Coussy , Loïc Lagadec
ICSAS 2014 - International symposium on circuits and systems, Jun 2014, Melbourne, Australia
Communication dans un congrès hal-01009572v1

A Prototyping Platform for Virtual Reconfigurable Units

Loïc Lagadec , Jean-Christophe Le Lann , Théotime Bollengier
RECOSOC 2014, May 2014, Montpellier, France
Communication dans un congrès hal-01006128v1

New reconfigurable fault tolerant FPGA architecture: A design for mission critical applications

Chagun Basha Basheer Ahmed , Sébastien Pillement , Loïc Lagadec
Workshop on Reconfigurable Computing (WRC), Jan 2014, Vienne, Austria. pp.WRC 2014
Communication dans un congrès hal-00921552v1

Fast Template-based Heterogeneous MPSoC Synthesis on FPGA

Youenn Corre , Jean-Philippe Diguet , Loic Lagadec , Dominique Heller , Dominique Blouin
9th Int. Symposium on Applied Reconfigurable Computing, Mar 2013, Los Angeles, United States
Communication dans un congrès hal-00780378v1

A Model-Driven Approach to Enhance Tool Interoperability using the Theory of Models of Computation

Papa Issa Diallo , Joël Champeau , Loïc Lagadec
SLE 2013 - 6th International Conference on Software Language Engineering, Oct 2013, United States
Communication dans un congrès hal-00912574v1

Enhance the reusability of Models and their Behavioral correctness

Papa Issa Diallo , Joël Champeau , Loïc Lagadec
MODELS 2013 - Workshop GEMOC, Sep 2013, Miami, United States
Communication dans un congrès hal-00912587v1

HLS-based Fast Design Space Exploration of ad hoc hardware accelerators: a key tool for MPSoC Synthesis on FPGA

Youenn Corre , Van-Trinh Hoang , Jean-Philippe Diguet , Dominique Heller , Loic Lagadec
International Conference on Design and Architectures for Signal and Image Processing (DASIP), Oct 2012, Germany
Communication dans un congrès hal-00747713v1
Image document

Behavioral System Level Power Consumption Modeling of Mobile Video Streaming applications

Yahia Benmoussa , Jalil Boukhobza , Yassine Hadjadj-Aoul , Loïc Lagadec , Djamel Benazzouz
Colloque du GDR SoC SiP, Jun 2012, Paris, France. pp.39
Communication dans un congrès hal-00724988v1

A framework for high-level synthesis of heterogeneous MP-SoC

Youenn Corre , Jean-Philippe Diguet , Dominique Heller , Loic Lagadec
GLSVLSI, May 2012, Salt Lake City, United States. pp.283-286, ⟨10.1145/2206781.2206850⟩
Communication dans un congrès hal-00726481v1

Fast Prototyping Environment for Embedded Reconfigurable Units

Damien Picard , Loic Lagadec
RECOSOC, Jun 2011, France. pp.1-8
Communication dans un congrès hal-00598833v1

Regular 2D Nasic-based Architecture and Design Space Exploration

Ciprian Teodorov , Pritish Narayanan , Loic Lagadec , Catherine Dezan
NANOARCH 2011, Jun 2011, San Diego, United States. pp.70-77
Communication dans un congrès hal-00598850v1

FPGA Physical-Design Automation using Model-Driven Engineering

Ciprian Teodorov , Damien Picard , Loic Lagadec
RECOSOC, Jun 2011, Montpellier, France. pp.1-6
Communication dans un congrès hal-00598838v1

FPGA SDK for Nanoscale Architectures

Ciprian Teodorov , Loic Lagadec
RECOSOC, Jun 2011, Montpellier, France. pp.1-8
Communication dans un congrès hal-00598837v1

MDE-based FPGA Physical Design Fast Model-Driven Prototyping with Smalltalk

Ciprian Teodorov , Loic Lagadec
IWST 2011, Aug 2011, Edinburgh, United Kingdom. pp.1-13
Communication dans un congrès hal-00620820v1

Constititution d'une chaine d'outils pour une technologie reconfigurable

Loic Lagadec , Damien Picard
Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France
Communication dans un congrès hal-00598841v1

Experiment centric teaching for reconfigurable processor

Damien Picard , Loic Lagadec
Symposium en Architecture Nouvelle de Machines, May 2011, Saint Malo, France. pp.1-14
Communication dans un congrès hal-00598843v1

Biniou: Prototypage d'architecture reconfigurable: Volet matériel et flot d'exploitation

Loic Lagadec
Journée du GDR Soc-Sip, Thème architectures reconfigurables, Jul 2011, Paris, France
Communication dans un congrès hal-00598851v1

Smalltalk debug lives in the Matrix

Loic Lagadec , Damien Picard
International Workshop on Smalltalk Technologies, Sep 2010, Barcelona, Spain. pp.1-10
Communication dans un congrès hal-00508413v1

Flot ADL d'exploration et de prototypage d'architectures reconfigurables

Damien Picard , Loïc Lagadec
Colloque annuel du GDR Soc-Sip, 2010, Cergy pontoise, France
Communication dans un congrès hal-00491881v1

Teaching reconfigurable computer : the Biniou approach

Loïc Lagadec , Damien Picard , Pierre-Yves Lucas
ReCoSoc 2010, 2010, Karlshrue, Germany. pp.127-134
Communication dans un congrès hal-00491907v1

Virtual SoPC Rad-Hardening for Satellite Applications

Ludovic Barrandon , Thierry Capitaine , Loïc Lagadec , Nathalie Julien , Christophe Moy
ReCoSoC'10, May 2010, Karlsruhe, Germany. 2 p
Communication dans un congrès hal-00489327v1

Software-Like Debugging Methodology for Reconfigurable Platforms

Loïc Lagadec , Damien Picard
International Symposium on Parallel&Distributed Processing, 2009, Rome, European Union. pp.1-4, ⟨10.1109/IPDPS.2009.5161224⟩
Communication dans un congrès hal-00487893v1

Présentation de l'Université de Bretagne Occidentale / Université Européenne de Bretagne et des activités du LAB-STICC

Loic Lagadec
Facultés des sciencs exactes, 2009, Béjaia, Algeria
Communication dans un congrès hal-00492389v1

Contribution du Lab-STICC au projet FP6 Morpheus

Loïc Lagadec
Colloque annuel du GDR Soc-SIP, 2009, Paris, France
Communication dans un congrès hal-00487895v1

MADEO : Object Oriented Programming, Modelization, and Tools for FPGAS

Loïc Lagadec
European Smalltalk User Group, 2008, Amsterdam, Netherlands
Communication dans un congrès hal-00487899v1

Spatial design backend : CDFG mapping on eFPGA and DREAM IPs

Loïc Lagadec , Damien Picard
AMWAS, 2008, Lugano, Switzerland
Communication dans un congrès hal-00487900v1

Chaîne de programmation pour architecture hétérogène reconfigurable

Loïc Lagadec , Jalil Boukhobza , Alain Plantec
SYMPosium en Architectures nouvelles de machines, 2008, Fribourgh, Suisse
Communication dans un congrès hal-00488899v1

Building CAD tools as an Efficient Learning for both EE and CS students

Loïc Lagadec
Reconfigurable Computing Education, 2008, Montpellier, France
Communication dans un congrès hal-00487891v1

Multi-Level Simulation of Heterogeneous Reconfigurable Platforms

Damien Picard , Loïc Lagadec
ReCoSoC, 2008, Barcelone, France
Communication dans un congrès hal-00487892v1

On the Way to Design Computing Architectures with Emerging Nanoscale Technologies

Ciprian Teodorov , Catherine Dezan , Loïc Lagadec
Colloque annuel GDR-SOC-Sip, Jun 2008, Paris, France
Communication dans un congrès hal-00380878v1

Synthesis in Morpheus

Loïc Lagadec , Cornelia Amariei , Johan Delouvée , Florent Padellec , Damien Picard
AMWAS, 2007, Paris, France
Communication dans un congrès hal-00487908v1
Image document

A layered methodology for fast deployment of new technologies

Loic Lagadec , Bernard Pottier , Alix Poungou
ENS 2007, Dec 2007, Paris, France. pp.20-24
Communication dans un congrès hal-00202506v1

Building CAD Prototyping Tool for Emerging Nanoscale Fabrics

Catherine Dezan , Loïc Lagadec , Michael Leuchtenburg , Teng Wang , Pritish Narayanan
European Nano Systems 2007, Dec 2007, Paris, France. pp.25-30
Communication dans un congrès hal-00259374v1

CDFG Platform in MORPHEUS

Jalil Boukhobza , Loïc Lagadec , Alain Plantec , Jean-Christophe Le Lann
AETHER - MORPHEUS Workshop AMWAS'07, Oct 2007, Paris, France
Communication dans un congrès hal-00487063v1
Image document

Building CAD Prototyping Tool for Emerging Nanoscale Fabrics

Catherine Dezan , Loic Lagadec , Michael Leuchtenburg , Teng Wang , Pritish Narayanan
ENS 2007, Dec 2007, Paris, France. pp.25-30
Communication dans un congrès hal-00202507v1

The Case Study of Block turbo Decoders on a Framework for Portable Synthesis on FPGA

Catherine Dezan , Christophe Jégo , Bernard Pottier , Christophe Gouyen , Loïc Lagadec
39th Hawaii International conference on System Sciences, 2006, United States. pp.250b
Communication dans un congrès hal-00083395v1

Du reconfigurable aux nano-fabriques : composants nano-électroniques et outils de modélisation

Alix Poungou , Erwan Fabiani , Loïc Lagadec , Bernard Pottier
SympA'2005 : 8ème édition du symposium en architectures nouvelles de machines, 2005, France. pp.195-206
Communication dans un congrès hal-00083254v1

Compiler level integration of a portable CAD framework for reconfigurable circuits

Christophe Gouyen , Loïc Lagadec , Bernard Pottier , François Dupont , Arnaud André
First ReCoSoc workshop, 2005, Montpellier, France
Communication dans un congrès hal-00083730v1

Madeo, une approche MDA pour la programmation et la synthèse d'architectures reconfigurables

Sébastien Lebeux , Loïc Lagadec
SympA'2005 : 8ème édition du symposium en architectures nouvelles de machines, 2005, Le Croisic, France. pp.1-12
Communication dans un congrès hal-00083256v1

Compiler and system techniques for SOC distributed reconfigurable accelerators

Joël Cambonie , Sylvain Guérin , Ronan Keryell , Loïc Lagadec , Bernard Pottier
2004, pp.293-302
Communication dans un congrès hal-00083035v1

Abstract Execution Mechanisms in a Synthesis Framework

Erwan Fabiani , Loïc Lagadec , Bernard Pottier , Alix Poungou , Samar Yazdani
3rd Workshop on Non-Silicon computation (NSC3), 2004, Munich, Germany
Communication dans un congrès hal-00083008v1

Outils génériques pour le reconfigurable: Applications aux architectures commerciales

Christophe Gouyen , Loïc Lagadec
2003, pp.399-408
Communication dans un congrès hal-00083016v1

Toward a CAD Tool for SYCL programming

Erwan Fabiani , Loic Lagadec , Alexandre Skrzyniarz , Chiara Relevat , Erell Cottour
IWOCL '23: International Workshop on OpenCL, Apr 2023, Cambridge, United Kingdom. ACM, pp.1-2, ⟨10.1145/3585341.3585358⟩
Poster de conférence hal-04303747v1
Image document

DoTRo: A New Dominating Tree Routing Algorithm for Efficient and Fault-Tolerant Leader Election in WSNs and IoT Networks

Ahcène Bounceur , Madani Bezoui , Loïc Lagadec , Reinhardt Euler , Abdelkader Laouid
Renault É., Boumerdassi S., Bouzefrane S. (eds). Mobile, Secure, and Programmable Networking. MSPN 2018, 11005, Springer, Cham, pp.42-53, 2019, Lecture Notes in Computer Science, 978-3-030-03100-8. ⟨10.1007/978-3-030-03101-5_5⟩
Chapitre d'ouvrage hal-02501870v1

Spatial Design

Loïc Lagadec , Damien Picard , Bernard Pottier
Voros, Nikolaos and Rosti, Alberto and Hübner, Michael (Eds.). Dynamic System Reconfiguration in Heterogeneous Platforms. The MORPHEUS Approach, Springer, chapter 13, 2009, Lecture Notes in Electrical Engineering, Vol. 40, ⟨10.1007/978-90-481-2427-5⟩
Chapitre d'ouvrage hal-00484065v1

A LUT based high level synthesis framework for reconfigurable architectures

Loïc Lagadec , Bernard Pottier , Oscar Villellas
Domain-Specific Processors : Systems, Architectures, Modeling and Simulations, Marcel Dekker, pp.19-39, 2003
Chapitre d'ouvrage hal-00084269v1