Accéder directement au contenu

Laurent Fesquet

9
Documents

Présentation

Maître de conférences [Laboratoire TIMA](tima.imag.fr/ "Laboratoire TIMA") Equipe [CDSI](http://tima.imag.fr/tima/en/cdsi/cdsioverview.html "équipe CDSI") (Design of Integrated devices, Circuits and Systems)
Associate Professor [Laboratoire TIMA](tima.imag.fr/ "Laboratoire TIMA") Team: [CDSI](http://tima.imag.fr/tima/en/cdsi/cdsioverview.html "équipe CDSI") (Design of Integrated devices, Circuits and Systems)

Publications

1014790

Static Timing Analysis of Asynchronous Bundled-data Circuits

Grégoire Gimenez , Abdelkarim Cherkaoui , Guillaume Cognard , Laurent Fesquet
24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2018), May 2018, Vienna, Austria
Communication dans un congrès hal-01726936v1

Event-based processing: a new paradigm for low-power

Laurent Fesquet , Sophie Germain , Jean Simatic , Abdelkarim Cherkaoui , Tugdual Le Pelleter
19th IEEE Mediterranean Electrotechnical Conference (IEEE Melecon’18), May 2018, Marrakesh, Morocco
Communication dans un congrès hal-01726778v1

Low Phase-Noise CMOS Quadrature Oscillator Based on a (Nx4)-stage Self-Timed Ring

Oussama Elissati , Assia El Hadbi , Abdelkarim Cherkaoui , Sébastien Rieubon , Laurent Fesquet
Conference on Design of Circuits and Integrated Systems (DCIS 2018), Nov 2018, Lyon, France
Communication dans un congrès hal-01971021v1

CAR: on the highway towards desynchronization

François Bertrand , Jean Simatic , Abdelkarim Cherkaoui , Anthony Maure , Laurent Fesquet
24th IEEE International Conference on Electronics, Circuits and Systems (ICECS), Dec 2017, Batumi, Georgia. pp.339-343, ⟨10.1109/ICECS.2017.8292047⟩
Communication dans un congrès hal-01626177v1

High Precision Time Measurement using Self-Timed Ring Oscillator based TDC

Assia El Hadbi , Abdelkarim Cherkaoui , Oussama Elissati , Laurent Fesquet
European Frequency and Time Forum & International Frequency Control Symposium (EFTF 2017), Jul 2017, Besançon, France. pp.77-78, ⟨10.1109/FCS.2017.8088805⟩
Communication dans un congrès hal-01627362v1

Nouveau dispositif ultra-précis de mesure du temps basé sur un oscillateur auto-séquencé

Assia El Hadbi , Abdelkarim Cherkaoui , Oussama Elissati , Laurent Fesquet
Colloque GdR SoC-SiP, Jun 2017, Talence, France
Communication dans un congrès hal-01627376v1

Self-timed Ring based True Random Number Generator: Threat model and countermeasures

Grégoire Gimenez , Abdelkarim Cherkaoui , Raphael Frisch , Laurent Fesquet
IEEE 2nd International Verification and Security Workshop (IVSW), Jul 2017, Thessaloniki, Greece. pp.31-38, ⟨10.1109/IVSW.2017.8031541⟩
Communication dans un congrès hal-01627350v1

A Subthreshold 30pJ/bit Self-timed Ring Based True Random Number Generator for Internet of Everything

Mathieu Coustans , Christian Terrier , Thomas Eberhardt , Stephanie Salgado , Abdelkarim Cherkaoui
IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference (IEEE S3S), Oct 2017, San Francisco, CA, United States. ⟨10.1109/S3S.2017.8308744⟩
Communication dans un congrès hal-01627338v1

On-the-fly and sub-gate-delay resolution TDC based on self-timed ring: A proof of concept

Assia El Hadbi , Abdelkarim Cherkaoui , Oussama Elissati , Jean Simatic , Laurent Fesquet
15th IEEE International New Circuits and Systems Conference (NEWCAS), Jun 2017, Strasbourg, France. pp.305-308, ⟨10.1109/NEWCAS.2017.8010166⟩
Communication dans un congrès hal-01627367v1