Accéder directement au contenu

Laurent Fesquet

16
Documents

Présentation

Maître de conférences [Laboratoire TIMA](tima.imag.fr/ "Laboratoire TIMA") Equipe [CDSI](http://tima.imag.fr/tima/en/cdsi/cdsioverview.html "équipe CDSI") (Design of Integrated devices, Circuits and Systems)
Associate Professor [Laboratoire TIMA](tima.imag.fr/ "Laboratoire TIMA") Team: [CDSI](http://tima.imag.fr/tima/en/cdsi/cdsioverview.html "équipe CDSI") (Design of Integrated devices, Circuits and Systems)

Publications

sylvain-engels

Method for Data-Driven Pruning in Micropipeline Circuits

Cristiano Merio , Xavier Lesage , Ali Naimi , Sylvain Engels , Katell Morin-Allory
31st IFIP/IEEE Conference on Very Large Scale Integration (VLSI-SoC 2023), Oct 2023, Sharjah, United Arab Emirates
Communication dans un congrès hal-04331953v1

Développer la compétence recherche en école d’ingénieurs

Laurent Fesquet , Xavier Lesage , Cristiano Merio , Ali Naimi , Sylvain Engels
17èmes journées pédagogiques du CNFM (JPCNFM 2023), Nov 2023, Toulouse, France
Communication dans un congrès hal-04332030v1

Data-driven Pruning for Bundled-data Circuits

Cristiano Merio , Xavier Lesage , Ali Naimi , Sylvain Engels , Katell Morin-Allory
28th International Symposium on Asynchronous Circuits and Systems (ASYNC 2023, Jul 2023, Beijing, China
Communication dans un congrès hal-04331929v1

A Novel Event-Based Method for ASK Demodulation

Rodrigo Iga , Sylvain Engels , Laurent Fesquet
IEEE 13th Latin America Symposium on Circuits and System (LASCAS 2022), Mar 2022, Puerto Varas, Chile. pp.1-4, ⟨10.1109/LASCAS53948.2022.9789085⟩
Communication dans un congrès hal-04023660v1

Comparison between an ASK Event-Based Demodulation and a Digital IQ Demodulation

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
7th International Conference on Event-Based Control, Communication, and Signal Processing (EBCCSP 2021), Jun 2021, Krakow, Poland. ⟨10.1109/EBCCSP53293.2021.9502367⟩
Communication dans un congrès hal-03432059v1
Image document

A Novel Continuous TDC Measurement Technique

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
27th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2021), Sep 2021, Portland, United States
Communication dans un congrès hal-03662324v1

Body-Bias Micro-Generators for Activity-Driven Power Management

Laurent Fesquet , Yoan Decoudu , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff
FDSOI workshop at DATE Conference 2020, Mar 2020, Grenoble, France
Communication dans un congrès hal-02956260v1

An Event-Based Strategy for ASK demodulation

Rodrigo Iga Jadue , Sylvain Engels , Laurent Fesquet
5th International Conference on Event-Based Control, Communication, and Signal Processing, May 2019, Vienna, Austria
Communication dans un congrès hal-02157360v1

A Distributed Body-Biasing Strategy for Asynchronous Circuits

Laurent Fesquet , Yoan Decoudu , Rodrigo Iga Jadue , Thiago Ferreira de Paiva Leite , O. Rolloff
27th IFIP/IEEE International Conference on Very Large Scale Integration (VLSI-SoC 2019), Oct 2019, Cuzco, Peru
Communication dans un congrès hal-02170157v1

A Design Flow for Shaping Electromagnetic Emissions in Micropipeline Circuits

Sophie Germain , Sylvain Engels , Laurent Fesquet
24th IEEE International Symposium on Asynchronous Circuits and Systems (ASYNC 2018), May 2018, Vienna, Austria
Communication dans un congrès hal-01726928v1

Fine Grain Body-Biasing: A strategy for asynchronous circuits

Thiago Ferreira de Paiva Leite , Rodrigo Iga Jadue , Sylvain Engels , Rodrigo Possamai Bastos , Laurent Fesquet
European Nanoelectronics Applications, Design and Technology Conference (ADTC), Jun 2018, Grenoble, France
Communication dans un congrès hal-01828009v1

Event-based processing: a new paradigm for low-power

Laurent Fesquet , Sophie Germain , Jean Simatic , Abdelkarim Cherkaoui , Tugdual Le Pelleter
19th IEEE Mediterranean Electrotechnical Conference (IEEE Melecon’18), May 2018, Marrakesh, Morocco
Communication dans un congrès hal-01726778v1

Shaping Electromagnetic Emissions of Event-Driven Circuits Thanks to Genetic Algorithms

Sophie Germain , Sylvain Engels , Laurent Fesquet
Third International Conference on Advances in Signal, Image, and Video Processing (SIGNAL 2018), May 2018, Nice, France
Communication dans un congrès hal-01827416v1

Event-Based Design Strategy for Circuit Electromagnetic Compatibility

Sophie Germain , Sylvain Engels , Laurent Fesquet
3rd International Conference on Event-Based Control, Communication and Signal Processing (EBCCSP 2017), May 2017, Funchal, Portugal. pp.1-7, ⟨10.1109/EBCCSP.2017.8022808⟩
Communication dans un congrès hal-01514356v1