Accéder directement au contenu
JP

Jean-Christophe Prévotet

CV
105
Documents
Affiliations actuelles
Identifiants chercheurs
  • IdHAL jean-christopheprevotet
  • ORCID 0000-0001-6951-4702
  • Google Scholar : https://scholar.google.fr/citations?user=_x91az8AAAAJ&hl=fr
  • IdRef : 075699109
Contact

Présentation

Domaines de recherche


Publications

Image document

A Seamless Integration Solution for LoRaWAN Into 5G System

Hassan Jradi , Fabienne Nouvel , Abed Ellatif Samhat , Jean-Christophe Prévotet , Mohamad Mroue
IEEE Internet of Things Journal, 2023, 10 (18), pp.16238-16252. ⟨10.1109/JIOT.2023.3267502⟩
Article dans une revue hal-04239515v1
Image document

High-Level Power Estimation Techniques in Embedded Systems Hardware: an Overview

Majdi Richa , Jean-Christophe Prévotet , Mickaël Dardaillon , Mohamad Mroué , Abed Ellatif Samhat
Journal of Supercomputing, 2023, 79, pp.3771-3790. ⟨10.1007/s11227-022-04798-5⟩
Article dans une revue hal-03770344v1
Image document

Secure proxy MIPv6-based mobility solution for LPWAN

Hassan Jradi , Fabienne Nouvel , Abed Ellatif Samhat , Jean-Christophe Prévotet , Mohamad Mroue
Wireless Networks, 2022, ⟨10.1007/s11276-022-03097-4⟩
Article dans une revue hal-03771190v1
Image document

Overview of the mobility related security challenges in LPWANs

H. Jradi , A.E. Samhat , F. Nouvel , M. Mroue , Jean-Christophe Prévotet
Computer Networks, 2021, 186, pp.107761. ⟨10.1016/j.comnet.2020.107761⟩
Article dans une revue hal-03130009v1
Image document

RTL to Transistor Level Power Modelling and Estimation Techniques for FPGA and ASIC: A Survey

Yehya Nasser , Jordane Lorandel , Jean-Christophe Prevotet , Maryline Hélard
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2021, 40 (3), pp.479-493. ⟨10.1109/TCAD.2020.3003276⟩
Article dans une revue hal-02866921v1
Image document

Media independent solution for mobility management in heterogeneous LPWAN technologies

Wael Ayoub , Abed Ellatif Samhat , Fabienne Nouvel , Mohamad Mroue , Hassan Jradi
Computer Networks, 2020, 182, pp.107423. ⟨10.1016/j.comnet.2020.107423⟩
Article dans une revue hal-02938593v1
Image document

Mobility Management With Session Continuity During Handover in LPWAN

Wael Ayoub , Fabienne Nouvel , Abed Ellatif Samhat , Mohamad Mroue , Jean-Christophe Prevotet
IEEE Internet of Things Journal, 2020, 7 (8), pp.6686-6703. ⟨10.1109/JIOT.2020.2985925⟩
Article dans une revue hal-02927495v1
Image document

NeuPow: A CAD Methodology for High Level Power Estimation Based on Machine Learning

Yehya Nasser , Carlo Sau , Jean-Christophe Prévotet , Tiziana Fanni , Francesca Palumbo
ACM Transactions on Design Automation of Electronic Systems, 2020, 25 (5), pp.1-29. ⟨10.1145/3388141⟩
Article dans une revue hal-02518770v1
Image document

Polarization-Based Reconfigurable Tags for Robust Ambient Backscatter Communications

R. Fara , D.-T. Phan-Huy , A. Ourir , Y. Kokar , J.-C. Prévotet
IEEE Open Journal of the Communications Society, 2020, 1, pp.1140-1152. ⟨10.1109/OJCOMS.2020.3013239⟩
Article dans une revue hal-03014533v1
Image document

Ker-ONE A new hypervisor managing FPGA reconfigurable accelerators

T. Xia , Y. Tian , Jean-Christophe Prévotet , F. Nouvel
Journal of Systems Architecture, 2019, 98, pp.453-467. ⟨10.1016/j.sysarc.2019.05.003⟩
Article dans une revue hal-02161023v1
Image document

Internet of Mobile Things: Overview of LoRaWAN, DASH7, and NB-IoT in LPWANs standards and Supported Mobility

Wael Ayoub , Abed Ellatif Samhat , Fabienne Nouvel , Mohamad Mroue , Jean-Christophe Prévotet
Communications Surveys and Tutorials, IEEE Communications Society, 2019, 21 (2), pp.1561-1581. ⟨10.1109/comst.2018.2877382⟩
Article dans une revue hal-01991724v1
Image document

Single-Carrier Spatial Modulation for the Internet of Things Design and Performance Evaluation by Using Real Compact and Reconfigurable Antennas

D-T Phan-Huy , Yvan Kokar , K. Rachedi , Patrice Pajusco , Ali Mokh
IEEE Access, 2019, 7, pp.18978-18993. ⟨10.1109/ACCESS.2019.2895754⟩
Article dans une revue hal-02083894v1

Applying Partial Reconfiguration Technique on ARM-FPGA Systems in Context of Vertical Handover in Wireless Heterogeneous Networks

Mohamad Al-Fadl Rihani , M. Mroueh , Jean-Christophe Prévotet , Fabienne Nouvel , Yasser Mohanna
International Journal of Digital Information and Wireless Communications, 2018, 8 (1), pp.70-74. ⟨10.17781/P002408⟩
Article dans une revue hal-02165636v1
Image document

ARM-FPGA-based platform for reconfigurable wireless communication systems using partial reconfiguration

Mohamad-Al-Fadl Rihani , Mohamad Mroue , Jean-Christophe Prévotet , Fabienne Nouvel , Yasser Mohanna
EURASIP Journal on Embedded Systems, 2017, 2017 (1), pp.35. ⟨10.1186/s13639-017-0083-9⟩
Article dans une revue hal-01684551v1

Fast Power and Performance Evaluation of FPGA-Based Wireless Communication Systems

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
IEEE Access, 2016, 4, 4, pp.2005-2018. ⟨10.1109/ACCESS.2016.2559781⟩
Article dans une revue hal-01334261v1

Energy efficiency analysis of hybrid-ARQ relay-assisted schemes in LTE-based systems

Mohamad Maaz , Jordane Lorandel , Philippe Mary , Jean-Christophe Prévotet , Maryline Hélard
EURASIP Journal on Wireless Communications and Networking, 2016, 2016, 1 (1), pp.22. ⟨10.1186/s13638-016-0520-9⟩
Article dans une revue hal-01261358v1

An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
Revue Méditerranéenne des Télécommunications=Mediterranean Telecommunication Journal, 2015, 5 (2), pp.109-115
Article dans une revue hal-01481522v1

Microkernel dedicated for dynamic partial reconfiguration on ARM-FPGA platform

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
ACM SIGBED Review, 2015, ACM SIGBED Review - Special Issue on the 6th Workshop on Adaptive and Reconfigurable Embedded Systems (EWiLi 2014), 11 (4), pp.31 - 36. ⟨10.1145/2724942.2724947⟩
Article dans une revue hal-01169314v1

OveRSoC : a Framework for the Exploration of RTOS for RSoC Platforms

Benoit Miramond , Emmanuel Huck , François Verdier , Mohamed El Amine Benkhelifa , Bertrand Granado
International Journal of Reconfigurable Computing, 2009, 2009, pp.450607. ⟨10.1155/2009/450607⟩
Article dans une revue inria-00450258v1

Hardware Architecture for Pattern Recognition in Gamma-ray Experiment

Sonia Khatchadourian , Jean-Christophe Prévotet , Lounis Kessal
EURASIP Journal on Embedded Systems, 2009
Article dans une revue hal-00430044v1

Fast Triggering in High Energy Physics Experiments Using Hardware Neural Networks

Bruce Denby , Patrick Garda , Bertrand Granado , Christian Kiesling , Jean-Christophe Prévotet
IEEE Transactions on Neural Networks, 2003, 14 (5), pp.1010- 1027
Article dans une revue hal-01534264v1

Hardware Preprocessing for the H1-Level 2 Neural Network Trigger Upgrade

J. C Prévotet , B. Denby , J. Fent , W. Frächtenicht , P. Garda
IEEE Transactions on Nuclear Science, 2002, 49, pp.362-368
Article dans une revue hal-01534265v1

Combining signal processing and machine leasing techniques for real time measurement of raindrop

Bruce Denby , Jean-Christophe Prévotet , Patrick Garda , Bertrand Granado , Laurent Barthès
IEEE Transactions on Instrumentation and Measurement, 2001, 50 (6), pp.1717-1724
Article dans une revue hal-01534266v1

High-Level Online Power Monitoring of FPGA IP Based on Machine Learning

Majdi Richa , Jean-Christophe Prévotet , Mickaël Dardaillon , Mohamad Mroué , Samhat Abed Ellatif
Workshop on Design and Architectures for Signal and Image Processing, Jan 2023, Toulouse, France
Communication dans un congrès hal-03907773v1
Image document

Modeling of Energy Consumption for Wired Access Control Systems

M. Oussayran , J.-C. Prévotet , Jean-Yves Baudais , A. Maiga
11th International Conference on Sensor Networks, Feb 2022, Online Streaming, France. pp.144-151, ⟨10.5220/0010841300003118⟩
Communication dans un congrès hal-03601833v1

Automated Training Data Construction using Measurements for High-Level Learning-Based FPGA Power Modeling

Majdi Richa , Jean-Christophe Prévotet , Mickaël Dardaillon , Mohamad Mroué , Samhat Abed Ellatif
IEEE International Conference on Smart Systems and Power Management (IC2SPM 2022), Nov 2022, Beirut, Lebanon
Communication dans un congrès hal-03793988v1

High-Level Early Power Estimation of FPGA IP Based on Machine Learning

Majdi Richa , Jean-Christophe Prévotet , Mickaël Dardaillon , Mohamad Mroue , Samhat Abed Ellatif
ICECS 2022 29th IEEE International Conference on Electronics, Circuits & Systems, Oct 2022, Glasgow, United Kingdom. ⟨10.1109/ICECS202256217.2022.9970952⟩
Communication dans un congrès hal-03771132v1

An Automated and Centralized Data Generation and Acquisition System

Majdi Richa , Jean-Christophe Prévotet , Mickael Dardaillon , Mohamad Mroue , Abed Ellatif Samhat
2021 28th IEEE International Conference on Electronics, Circuits, and Systems (ICECS), Nov 2021, Dubai, United Arab Emirates. pp.1-4, ⟨10.1109/ICECS53924.2021.9665490⟩
Communication dans un congrès hal-03600943v1
Image document

Consommation d'énergie dans les systèmes de contrôle d'accès : simulations et paramétrages

Mohamad Oussayran , Jean-Yves Baudais , Jean-Christophe Prévotet , Fabienne Nouvel , Ali Maiga
Colloque du GDR-SOC2, Jun 2021, Rennes, France
Communication dans un congrès hal-03251354v1

Implementation of SCHC in NS-3 and Comparison with 6LoWPAN

W. Ayoub , F. Nouvel , S. Hmede , A.E. Samhat , M. Mroue
26th International Conference on Telecommunications, ICT 2019, Apr 2019, Hanoi, Vietnam. pp.432-436, ⟨10.1109/ICT.2019.8798782⟩
Communication dans un congrès hal-02309546v1
Image document

Implementation of SCHC in NS-3 Simulator and Comparison with 6LoWPAN

Wael Ayoub , Fabienne Nouvel , Sarah Hmede , Abed Ellatif Samhat , Mohamad Mroue
26th International Conference on Telecommunications (ICT), Apr 2019, HANOI, Vietnam
Communication dans un congrès hal-02051757v1
Image document

Demo Abstract: Spatial modulation based transmission using a reconfigurable antenna

Yvan Kokar , Kammel Rachedi , Abdel Ourir , Julien de Rosny , Dinh-Thuy Phan-Huy
2019 IEEE INFOCOM, Apr 2019, Paris, France
Communication dans un congrès hal-02124126v1
Image document

First experimental ambient backscatter communication using a compact reconfigurable tag antenna

Yvan Kokar , D.-T Phan-Huy , R Fara , K. Rachedi , A. Ourir
2019 IEEE Globecom Workshops (GC Wkshps), Dec 2019, Waikoloa, United States. ⟨10.1109/GCWkshps45667.2019.9024698⟩
Communication dans un congrès hal-02325320v1

Technology Selection For IoT-Based Smart Transportation Systems

Wael Ayoub , Abed Ellatif Samhat , Mohamad Mroue , Hussein Joumaa , Fabienne Nouvel
International Workshop on Vehicular Adhoc Networks for Smart Cities (IWVSC'2019), Nov 2019, Paris, France
Communication dans un congrès hal-02291557v1

NeuPow: artificial neural networks for power and behavioral modeling of arithmetic components in 45nm ASICs technology

Yehya Nasser , Carlo Sau , Jean-Christophe Prévotet , Tiziana Fanni , Francesca Palumbo
the 16th ACM International Conference, Apr 2019, Alghero, Italy. pp.183-189
Communication dans un congrès hal-02165618v1
Image document

SCHC-Based Solution for Roaming in LoRaWAN

Wael Ayoub , Mohamad Mroue , Abed Ellatif Samhat , Fabienne Nouvel , Jean-Christophe Prévotet
The 14-th International Conference on Broadband and Wireless Computing, Communication and Applications (BWCCA-2019), Nov 2019, Anvers, Belgium
Communication dans un congrès hal-02266618v1

Towards IP over LPWANs technologies: LoRaWAN, DASH7, NB-IoT

Wael Ayoub , Mohamad Mroue , Fabienne Nouvel , Abed Ellatif Samhat , Jean-Christophe Prévotet
2018 Sixth International Conference on Digital Information, Networking, and Wireless Communications (DINWC), Apr 2018, Beirut, Lebanon. pp.43-47, ⟨10.1109/dinwc.2018.8356993⟩
Communication dans un congrès hal-01833167v1

A Neural Network Based Handover for Multi-RAT Heterogeneous Networks with Learning Agent

M.-A.-F. Rihani , M. Mroue , J.-C. Prevotct , F. Nouvel , Y. Mohanna
13th International Symposium on Reconfigurable Communication-Centric Systems-on-Chip, ReCoSoC 2018, Jul 2018, Lille, France. pp.8449382, ⟨10.1109/ReCoSoC.2018.8449382⟩
Communication dans un congrès hal-01904639v1
Image document

Internet of Mobile Things: Overview of LoRaWAN, DASH7, and NB-IoT in LPWANs standards and Supported Mobility

Wael Ayoub , Abed Ellatif Samhat , Fabienne Nouvel , Mohamad Mroue , Jean-Christophe Prévotet
2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. ⟨10.1109/COMST.2018.2877382⟩
Communication dans un congrès hal-01901612v1
Image document

Power Modeling on FPGA: A Neural Model for RT-Level Power Estimation

Yehya Nasser , Jean-Christophe Prevotet , Maryline Hélard
ACM International Conference on Computing Frontiers 2018, May 2018, Ischia, Italy. ⟨10.1145/3203217.3204462⟩
Communication dans un congrès hal-01760342v1
Image document

Performance Analysis of Extended RASK under Imperfect Channel Estimation and Antenna Correlation

Ali Mokh , Matthieu Crussière , Jean-Christophe Prévotet
IEEE Wireless Communications and Networking Conference, Apr 2018, Barcelona, Spain. ⟨10.1109/wcnc.2018.8377261⟩
Communication dans un congrès hal-01722204v1
Image document

Overview and Measurement of Mobility in DASH7

Wael Ayoub , Fabienne Nouvel , Abed Ellatif Samhat , Jean-Christophe Prévotet , Mohamad Mroue
2018 25th International Conference on Telecommunications (ICT), Jun 2018, St. Malo, France. pp.532-536, ⟨10.1109/ICT.2018.8464846⟩
Communication dans un congrès hal-01991725v1
Image document

Extended Receive Spatial Modulation MIMO scheme for Higher Spectral Efficiency

Ali Mokh , Jean-Christophe Prévotet , Matthieu Crussière
2018 IEEE 87th Vehicular Technology Conference, Jun 2018, Porto, Portugal. ⟨10.1109/vtcspring.2018.8417598⟩
Communication dans un congrès hal-01722208v1

APPLYING PARTIAL RECONFIGURATION TECHNIQUE ON ARMFPGA SYSTEMS IN CONTEXT OF VERTICAL HANDOVER IN WIRELESS HETEROGENEOUS NETWORKS

Mohamad Alfadl Rihani , Fabienne Nouvel , Jean-Christophe Prévotet , M. Mroueh
The Third International Conference on Electrical and Electronic Engineering, Telecommunication Engineering and Mechatronics (EEETEM2017), Apr 2017, BEYROUTH, Lebanon
Communication dans un congrès hal-01560934v1
Image document

First Demonstration and Visualization of Receive Spatial Modulation Using the "Radio Wave Display"

Dinhthuy Phan-Huy , Yvan Kokar , Jean Rioult , Nadine Malhouroux-Gaffet , Jean-Christophe Prevotet
21st International ITG Workshop on Smart Antennas (WSA 2017), Mar 2017, Berlin, Germany. 1p
Communication dans un congrès hal-01489004v1
Image document

Dynamic power estimation based on switching activity propagation

Yehya Nasser , Jean-Christophe Prévotet , Maryline Hélard , J Lorandel
2017 27th International Conference on Field Programmable Logic and Applications (FPL), Sep 2017, Ghent, Belgium. pp.1 - 2, ⟨10.23919/FPL.2017.8056783⟩
Communication dans un congrès hal-01613447v1
Image document

Power Estimation on FPGAs Based on Signal Information Propagation Through Digital Operators

Yehya Nasser , Jean-Christophe Prévotet , Maryline Hélard , J Lorandel
First International Conference on Sensors, Networks, Smart and Emerging Technologies (SENSET 2017), Sep 2017, Beyrouth, Lebanon
Communication dans un congrès hal-01619924v1
Image document

Statistical Information Propagation Across Operators for Dynamic Power Estimation on FPGAs

Yehya Nasser , Jean-Christophe Prévotet , Maryline Hélard , J Lorandel
12ème Colloque du GDR SoC/SiP, A Bordeaux du 14 au 16 Juin 2017, Jun 2017, Bordeaux, France
Communication dans un congrès hal-01567196v1

Demo WIFI-WiMax Vertical Handover on an ARM-FPGA Platform with Partial Reconfiguration

Mohamad-Al-Fadl Rihani , Jean-Christophe Prévotet , Fabienne Nouvel , Mohamad Mroue , Yasser Mohanna
Conference on Design and Architectures for Signal and Image Processing (DASIP), Sep 2017, Dresden, Germany
Communication dans un congrès hal-01770199v1
Image document

The Radio Waves Display: an Intuitive Way to Show Green Techniques for 5G to the General Public

Dinhthuy Phan-Huy , Nadine Malhouroux-Gaffet , Jean Rioult , Yvan Kokar , Jean-Christophe Prevotet
ICC Workshops 2017 : IEEE International Conference on Communications Workshops, May 2017, Paris, France. 7p, ⟨10.1109/ICCW.2017.7962663⟩
Communication dans un congrès hal-01493976v1

Demo: Ker-ONE: Embedded virtualization approach with dynamic reconfigurable accelerators management

Tian Xia , Mohamad Alfadl Rihani , Jean-Christophe Prévotet , Fabienne Nouvel
Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853825⟩
Communication dans un congrès hal-01481583v1
Image document

Receive Antenna Shift Keying Modulation Testbed for Wireless Communications Systems

Yvan Kokar , Jean-Christophe Prévotet , Maryline Hélard
2016 IEEE Globecom Workshops (GC Wkshps), Dec 2016, WASHINGTON DC, United States. pp.1 - 6, ⟨10.1109/GLOCOMW.2016.7849016⟩
Communication dans un congrès hal-01511560v1

ARM-FPGA based platform for automated adaptive wireless communication systems using partial reconfiguration technique

Mohamad Alfadl Rihani , Jean-Christophe Prévotet , Fabienne Nouvel , Mohamad Mroué , Yasser Mohanna
Design and Architectures for Signal and Image Processing (DASIP), Oct 2016, Rennes, France. ⟨10.1109/DASIP.2016.7853806⟩
Communication dans un congrès hal-01481573v1

Dynamic and Partial Reconfiguration Power Consumption Runtime Measurements Analysis for ZYNQ SoC Devices

Mohamad Alfadl Rihani , Fabienne Nouvel , Jean-Christophe Prévotet , Mohamad Mroue , Jordane Lorandel
13th International Symposium on Wireless Communication Systems (ISWCS), Sep 2016, Poznan, Poland. pp.592--596
Communication dans un congrès hal-01413269v1
Image document

Hypervisor Mechanisms to Manage FPGA Reconfigurable Accelerators

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
15th International Conference on Field-Programmable Technology, FPT 2016, Dec 2016, Xi'an, China. ⟨10.1109/FPT.2016.7929187⟩
Communication dans un congrès hal-01905744v1
Image document

Transparent Dynamic Management of Reconfigurable Accelerators in Virtualization Technology

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
GDR SOC/SIP, Jun 2016, Nante, France
Communication dans un congrès hal-01905770v1

Fast Power and Energy Efficiency Analysis of FPGA-based Wireless Base-band Processing

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
HIP3ES International Workshop on High Performance Energy Efficient Embedded Systems (4th Edition), HIPEAC, Jan 2016, Prague, Czech Republic
Communication dans un congrès hal-01252437v1
Image document

Efficient Modelling of FPGA-based IP blocks using Neural Networks

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
International Symposium on Wireless Communication Systems, Sep 2016, Poznan, Poland
Communication dans un congrès hal-01376302v1
Image document

Mini-NOVA: A Lightweight ARM-based Virtualization Microkernel Supporting Dynamic Partial Reconfiguration

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
2015 IEEE International Parallel and Distributed Processing Symposium Workshops, May 2015, Hyderabad, India. pp.71-80, ⟨10.1109/ipdpsw.2015.72⟩
Communication dans un congrès hal-01191910v1
Image document

Dynamic Power Estimation of FPGA-based Wireless Communication Systems

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
The International Conference on WIreless Technologies, embedded and intelligent Systems WITS-2015, Apr 2015, Fès, Morocco
Communication dans un congrès hal-01247185v1

Application of time reversal principles to wireless communications: from single user SISO to multiple-user large scale MIMO scenarios

Maryline Hélard , Matthieu Crussière , Jean-Christophe Prévotet , Yvan Kokar
Softcom 2015, Sep 2015, Solta Croatia
Communication dans un congrès hal-01252129v1
Image document

An ARM-based Microkernel on Reconfigurable Zynq-7000 Platform

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
Mediterranean Telecommunication Journal, Apr 2015, Fez, Morocco. pp.109-115
Communication dans un congrès hal-01196389v1

Méthodologie d'estimation de la puissance dynamique de systèmes de communications numériques sans fils pour cible FPGA

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
GDR ISIS, May 2015, Paris, France
Communication dans un congrès hal-01252101v1
Image document

Dynamic Power Evaluation of LTE Wireless Baseband Processing on FPGA

Jordane Lorandel , Jean-Christophe Prévotet , Maryline Hélard
The 2015 Conference on Design and Architectures for Signal and Image Processing, Sep 2015, Cracovie, Poland. ⟨10.1109/dasip.2015.7367265⟩
Communication dans un congrès hal-01247177v1
Image document

Microkernel dedicated for dynamic partial reconfiguration on ARM-FPGA platform

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
The 4th Embedded Operating Systems Workshop (EWiLi'14), Nov 2014, Lisbon, Portugal. pp.31 - 36
Communication dans un congrès hal-01113215v1
Image document

Microkernel on reconfigurable ARM-FPGA platform

Tian Xia , Jean-Christophe Prévotet , Fabienne Nouvel
GDR SOC/SIP, Jun 2014, Paris, France
Communication dans un congrès hal-01905758v1

Implementation of a Time Revel MISO OFDM Test-Bed

Jean-Christophe Prévotet , Yvan Kokar , Maryline Hélard , Matthieu Crussière
Wireless World Research Forum, Sep 2014, Guidlford, United Kingdom
Communication dans un congrès hal-01113814v1
Image document

Evaluation of an RTOS on top of a hosted virtual machine system

Mehdi Aichouch , Jean-Christophe Prevotet , Fabienne Nouvel
Design and Architectures for Signal and Image Processing (DASIP), 2013 Conference on, Oct 2013, Cagliari, Italy. pp.290-297
Communication dans un congrès hal-00982172v1

Evaluation of the Overheads and Latencies of Virtualized RTOS. Proceedings of 8th IEEE International Symposium on Industrial Embedded Systems

Mehdi Aichouch , Jean-Christophe Prévotet , Fabienne Nouvel
SIES 2013, Jun 2013, Porto, Portugal. pp.6
Communication dans un congrès hal-00960579v1

Modeling Adaptive Coded Modulation in Real Time Partially Reconfigurable Mobile Terminals

Laura Conde-Canencia , Yvan Eustache , Jean-Christophe Prévotet , Yaset Oliva
EUSIPCO (Signal Processing Conference), Aug 2012, Bucarest, Romania
Communication dans un congrès hal-01169323v1

Extension Multiprocesseurs du noyau MicroC/OS-II

Yaset Oliva , Jean-Christophe Prévotet , Fabienne Nouvel
GRETSI 2011, Sep 2011, Bordeaux, France
Communication dans un congrès hal-00659345v1
Image document

Building a RTOS for MPSoC Dataflow Programming

Yaset Oliva , Maxime Pelcat , Jean François Nezan , Jean-Christophe Prévotet , Slaheddine Aridhi
2011 International Symposium on System on Chip (SoC), Oct 2011, Finland. pp.143
Communication dans un congrès hal-00658848v1

RTOS-Based Embedded Software development using Domain-Specific Language

Mehdi Aichouch , Jean-Christophe Prévotet , Fabienne Nouvel
International Workshop OSPERT, Jul 2011, Porto, Portugal
Communication dans un congrès hal-00659279v1

Implementation of a Reconfigurable Fast Fourier Transform Application to Digital Terrestrial Television Broadcasting

Florent Camarda , Jean-Christophe Prévotet , Fabienne Nouvel
FPL 19ème Conférence Internationale sur les FPGA, Aug 2009, Prague, Czech Republic
Communication dans un congrès hal-00522777v1

A Neural Solution for the Level 2 Trigger in Gamma Ray Astronomy

Sonia Khatchadourian , Jean-Christophe Prévotet , Lounis Kessal
XI International Workshop on Advanced Computing and Analysis Techniques in Physics Research (ACAT), Jan 2009, Amsterdam, Netherlands
Communication dans un congrès hal-00525254v1

Architecture matérielle pour implantation de réseaux de neurones en temps réel

Sonia Khatchadourian , S. Narayanan , Jean-Christophe Prévotet , Lounis Kessal
22ème colloque GRETSI 2009, Sep 2009, Dijon, France
Communication dans un congrès hal-00537404v1

A Reconfigurable Fast Fourier Transform Implementation for multi-standards applications

Florent Camarda , Jean-Christophe Prévotet , Fabienne Nouvel
DCIS 2009, Nov 2009, Zaragova, Spain. 6p
Communication dans un congrès hal-00522778v1

Exploration for Dynamic Reconfiguration Management

Yaset Oliva , Jean-Christophe Prévotet , Fabienne Nouvel , Sébastien Pillement , Daniel Chillet
Sophia Antipolis MicroElectronics Forum, SAME 2009, Sep 2009, Nice, France
Communication dans un congrès inria-00446942v1

High-Level Exploration for Dynamic Reconfiguration Management

Sébastien Pillement , Daniel Chillet , Yaset Oliva , Jean-Christophe Prévotet
Engineering of Reconfigurable Systems and Algorithms, Jun 2009, Las Vegas, United States
Communication dans un congrès inria-00430950v1

Implantation d'un opérateur reconfigurable de transformée de Fourier Rapide (TFR)

Florent Camarda , Jean-Christophe Prévotet , Fabienne Nouvel
GRESTI 2009, Sep 2009, Dijon, France. 4 p
Communication dans un congrès hal-00537360v1

Efficient Level 2 Trigger System Based on Artificial Neural Networks

Sonia Khatchadourian , Jean-Christophe Prévotet , Lounis Kessal
ACAT08, 2008, European Union. pp.10
Communication dans un congrès hal-00404913v1

Neural Network hardware architecture for pattern recognition in the HESS2 project

Narayanan Ramanan , Sonia Khatchadourian , Jean-Christophe Prévotet , Lounis Kessal
ESANN 2008, 2008, Belgium. pp.10
Communication dans un congrès hal-00404924v1

Etude d'un récepteur reconfigurable de TV numérique terrestre & mobile multistandard

Florent Camarda , Jean-Christophe Prévotet , Fabienne Nouvel
Conférence Nationale Manifestation des Jeunes Chercheurs Francophones dans le domaine des STIC MAJECSTIC 2008, Oct 2008, Marseille, France. 4 p
Communication dans un congrès hal-00377250v1

Neural network hardware architecture for pattern recognition in the HESS2 project.

Narayanan Ramanan , Sonia Khatchadourian , Jean-Christophe Prévotet , Lounis Kessal
16th European Symposium on Artificial Neural Networks, Apr 2008, Bruges, Belgium. pp.343 to 348
Communication dans un congrès hal-00783970v1

A Framework for the Exploration of RTOS Dedicated to the Management of Hardware Reconfigurable Resources

Jean-Christophe Prévotet , Mohamed El Amine Benkhelifa , Bertrand Granado , Emmanuel Huck , Benoit Miramond
ReConFig'08, 2008, Mexico. pp.61-66
Communication dans un congrès hal-00404919v1
Image document

Hardware task context management for fine grained dynamically recon gurable architecture

Samuel Garcia , Jean-Christophe Prévotet , Bertrand Granado
Workshop on Design and Architectures for Signal and Image Processing, Nov 2007, Grenoble, France. pp.1
Communication dans un congrès hal-00665798v1

Hardware task context management for fine grained dynamically reconfigurable architecture

Samuel Garcia , J.-C. Prévotet , Bertrand Granado
Workshop on Design and Architectures for Signal and Image Processing, 2007, Unknown, Unknown Region
Communication dans un congrès hal-01534348v1

System Level Modelling for Reconfigurable SoCs

Imène Benkhermi , Mohamed El Amine Benkhelifa , Daniel Chillet , Sébastien Pillement , Jean-Christophe Prévotet
20th Conference on Design of Circuits and Integrated Systems (DCIS'05), Nov 2005, Lisboa, Portugal. pp.1
Communication dans un congrès hal-00524629v1

Exploring RTOS issues with a high-level model of a reconfigurable SoC platform

François Verdier , Jean-Christophe Prévotet , Mohamed El Amine Benkhelifa , Daniel Chillet , Sébastien Pillement
European Workshop on Reconfigurable Communication-centric SoC (ReCoSoC 2005), 2005, Montpellier, France
Communication dans un congrès hal-00524777v1
Image document

A Second Level Trigger for HESS Phase 2

M. Tluczykont , G. Fontaine , M. Ouchrif , J.-C. Prévotet , F. Verdier
Towards a Network of Atmospheric Cherenkov Detectors VII, Apr 2005, Palaiseau, France. pp.509-518
Communication dans un congrès in2p3-00127367v1

Hardware Solutions for Implementation of neural networks in High Energy Physics Triggers

Jean-Christophe Prévotet , Bruce Denby , Patrick Garda , Bertrand Granado , Christian Kiesling
ESANN, 2002, Bruges, Belgium
Communication dans un congrès hal-01534363v1

Solution matérielle pour la mise en oeuvre de réseaux de neurones en physique des particules

Jean-Christophe Prévotet , Bruce Denby , Patrick Garda , Bertrand Granado , Christian Kiesling
NSI2002, 2002, La Londe les Maures, Unknown Region
Communication dans un congrès hal-01534429v1

Moving NN Triggers to Level-1 at LHC Rates

Jean-Christophe Prévotet , Bruce Denby , Patrick Garda , Bertrand Granado , Christian Kiesling
ACAT 2002, 2002, Moscou, Russia
Communication dans un congrès hal-01534364v1

Preprocessing Hardware for Neural Networks in the H1 Experiment

J.-C. Prévotet , B. Denby , Patrick Garda , Bertrand Granado , W. Fröechtenicht
12th IEEE NPSS Real Time 2001, 2001, Valencia, Spain
Communication dans un congrès hal-01534365v1

Intelligent Preprocessing for Neural Networks in the H1 Experiment

J.-C. Prévotet , B. Denby , Patrick Garda , Bertrand Granado , W. Fröechtenicht
ACAT 2000, 2000, Chicago (United States of America), Unknown Region
Communication dans un congrès hal-01534367v1

The H1 Neural Network Trigger Project

C. Kiesling , B. Denby , J. Fent , Patrick Garda , Bertrand Granado
ACAT 2000, 2000, Chicago (united states of america), Unknown Region
Communication dans un congrès hal-01534368v1