Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

49 résultats
Image document

Practical Simulation Flow for Evaluating Analog and Mixed-Signal Test Techniques

Manuel J. Barragan , Haralampos-G. Stratigopoulos , Salvador Mir , Hervé Le-Gall , Neha Bhargava , et al.
IEEE Design & Test, 2016, ⟨10.1109/MDAT.2016.2590985⟩
Article dans une revue hal-01359611v1
Image document

An End-To-End Neuromorphic Radio Classification System with an Efficient Sigma-Delta-Based Spike Encoding Scheme

Wenzhe Guo , Kuilian Yang , Haralampos-G. Stratigopoulos , Hassan Aboushady , Khaled Nabil Salama
IEEE Transactions on Artificial Intelligence, In press, pp.1-14. ⟨10.1109/TAI.2023.3306334⟩
Article dans une revue hal-04181477v1
Image document

Reliability Analysis of a Spiking Neural Network Hardware Accelerator

Theofilos Spyrou , Sarah A El-Sayed , Engin Afacan , Luis A Camuñas-Mesa , Bernabé Linares-Barranco , et al.
Design, Automation and Test in Europe Conference (DATE), Mar 2022, Antwerp, Belgium. pp.370-375, ⟨10.23919/DATE54114.2022.9774711⟩
Communication dans un congrès hal-03501968v1
Image document

Run-Time Hardware Trojan Detection in Analog and Mixed-Signal ICs

Antonios Pavlidis , Eric Faehn , Marie-Minerve Louërat , Haralampos-G. Stratigopoulos
40th IEEE VLSI Test Symposium 2022, Apr 2022, San Diego, United States. pp.1-8, ⟨10.1109/VTS52500.2021.9794208⟩
Communication dans un congrès hal-03587673v1
Image document

BIST-Assisted Analog Fault Diagnosis

Antonios Pavlidis , Eric Faehn , Marie-Minerve Louërat , Haralampos-G. Stratigopoulos
26th IEEE European Test Symposium, May 2021, Bruges (virtual), Belgium. pp.1-6, ⟨10.1109/ETS50041.2021.9465386⟩
Communication dans un congrès hal-03181937v1
Image document

Digital-to-Analog Hardware Trojan Attacks

Mohamed Elshamy , Giorgio Di Natale , Alhassan Sayed , Antonios Pavlidis , Marie-Minerve Louërat , et al.
IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69 (2), pp.573-586. ⟨10.1109/TCSI.2021.3116806⟩
Article dans une revue hal-03357106v1
Image document

Open Source Hardware and EDA Tools for Analog/Mixed-Signal Design and Prototyping

Naohiko Shimizu , Junichi Akita , Marie-Minerve Louërat , Haralampos-G. Stratigopoulos , Jean-Paul Chaput , et al.
2018 IEEE International Symposium on Circuits and Systems (ISCAS), May 2018, Florence, Italy. ⟨10.1109/ISCAS.2018.8351884⟩
Communication dans un congrès hal-01843031v1
Image document

Symmetry-based A/M-S BIST (SymBIST): Demonstration on a SAR ADC IP

Antonios Pavlidis , Marie-Minerve Louërat , Eric Faehn , Anand Kumar , Haralampos-G. Stratigopoulos
Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France. ⟨10.23919/DATE48585.2020.9116189⟩
Communication dans un congrès hal-02385120v1

Harnessing fabrication process signature for predicting yield across designs

Ai Ahmadi , Haralampos-G. Stratigopoulos , Amit Nahar , Bob Orr , Michael Pas , et al.
IEEE International Symposium on Circuits and Systems, May 2016, Montreal, Canada. ⟨10.1109/ISCAS.2016.7527386⟩
Communication dans un congrès hal-01359613v1
Image document

Securing Programmable Analog ICs Against Piracy

Mohamed Elshamy , Alhassan Sayed , Marie-Minerve Louërat , Amine Rhouni , Hassan Aboushady , et al.
Design, Automation and Test in Europe Conference, Mar 2020, Grenoble, France. ⟨10.23919/DATE48585.2020.9116520⟩
Communication dans un congrès hal-02384389v1
Image document

On the Sensitivity of Analog Artificial Neural Network Models to Process Variation

Nosheen Afroz , Ahmad Sayeed Sayem , Georgios Volanis , Dimitri Maliuk , Haralampos-G. Stratigopoulos , et al.
42nd IEEE VLSI Test Symposium (VTS 2024), Apr 2024, Tempe, AZ, United States
Communication dans un congrès hal-04555768v1

Machine learning applications in IC testing

Haralampos-G. Stratigopoulos
2018 IEEE European Test Symposium (ETS), May 2018, Bremen, Germany. pp.1-10, ⟨10.1109/ETS.2018.8400701⟩
Communication dans un congrès hal-02369135v1
Image document

Analog and Mixed-Signal Test

Haralampos-G. Stratigopoulos , Bozena Kaminska
Grant Martin; Luciano Lavagno; Igor Markov. Electronic Design Automation for Integrated Circuits Handbook, CRC Press, 2016
Chapitre d'ouvrage hal-02175745v1
Image document

Anti-Piracy Design of RF Transceivers

Alán Rodrigo Díaz-Rizo , Hassan Aboushady , Haralampos-G. Stratigopoulos
IEEE Transactions on Circuits and Systems I: Regular Papers, 2023, 70 (1), pp.492 - 505. ⟨10.1109/TCSI.2022.3214111⟩
Article dans une revue hal-03808925v1
Image document

Evaluation of low-cost mixed-signal test techniques for circuits with long simulation times

Haralampos-G. Stratigopoulos , Manuel J. Barragan , Salvador Mir , Hervé Le-Gall , Neha Bhargava , et al.
IEEE International Test Conference (ITC 2015), Oct 2015, Anaheim, CA, United States. ⟨10.1109/TEST.2015.7342385⟩
Communication dans un congrès hal-01259637v1
Image document

Exploiting Pipeline ADC Properties for a Reduced-Code Linearity Test Technique

Asma Laraba , Haralampos-G. Stratigopoulos , Salvador Mir , Hervé Naudet
IEEE Transactions on Circuits and Systems I: Regular Papers, 2015, 62 (10), pp.2391-2400. ⟨10.1109/TCSI.2015.2469014⟩
Article dans une revue hal-01224434v1

One-Shot Non-Intrusive Calibration Against Process Variations for Analog/RF Circuits

Martin Andraud , Haralampos-G. Stratigopoulos , Emmanuel Simeu
IEEE Transactions on Circuits and Systems I: Regular Papers, 2016, ⟨10.1109/TCSI.2016.2598184⟩
Article dans une revue hal-01359608v1

Adaptive Test Flow for Mixed-Signal ICs

Haralampos-G. Stratigopoulos , Christian Streitwieser
IEEE 35th VLSI Test Symposium, Apr 2017, Las Vegas, United States. pp.1-6, ⟨10.1109/VTS.2017.7928919⟩
Communication dans un congrès hal-01669360v1
Image document

SyncLock: RF Transceiver Security Using Synchronization Locking

Alán Rodrigo Díaz Rizo , Hassan Aboushady , Haralampos-G. Stratigopoulos
Design, Automation and Test in Europe Conference (DATE), Mar 2022, Antwerp, Belgium. pp.1153-1156, ⟨10.23919/DATE54114.2022.9774556⟩
Communication dans un congrès hal-03609114v1
Image document

Anti-Piracy of Analog and Mixed-Signal Circuits in FD-SOI

Mariam Tlili , Alhassan Sayed , Doaa Mahmoud , Marie-Minerve Louërat , Hassan Aboushady , et al.
27th Asia and South Pacific Design Automation Conference (ASP-DAC), Jan 2022, Virtual, Taiwan. pp.423-428, ⟨10.1109/ASP-DAC52403.2022.9712547⟩
Communication dans un congrès hal-03416062v2
Image document

RF Transceiver Security Against Piracy Attacks

Alán Rodrigo Díaz Rizo , Julian Leonhard , Hassan Aboushady , Haralampos-G. Stratigopoulos
IEEE Transactions on Circuits and Systems II: Express Briefs, 2022, 69 (7), pp.3169-3173. ⟨10.1109/TCSII.2022.3165709⟩
Article dans une revue hal-03643911v1
Image document

Locking by Untuning: A Lock-Less Approach for Analog and Mixed-Signal IC Security

Mohamed Elshamy , Alhassan Sayed , Marie-Minerve Louërat , Hassan Aboushady , Haralampos-G. Stratigopoulos
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2021, 29 (12), pp.2130-2142. ⟨10.1109/TVLSI.2021.3117584⟩
Article dans une revue hal-03361417v1
Image document

Spiking Neuron Hardware-Level Fault Modeling

Sarah A El-Sayed , Theofilos Spyrou , Antonios Pavlidis , Engin Afacan , Luis A Camuñas-Mesa , et al.
26th IEEE International Symposium on On-Line Testing and Robust System Design, Jul 2020, Naples, Italy. ⟨10.1109/IOLTS50870.2020.9159745⟩
Communication dans un congrès hal-02873418v1

Built-in test of millimeter-wave circuits based on non-intrusive sensors

Athanasios Dimakos , Haralampos-G. Stratigopoulos , Alexandre Siligaris , Salvador Mir , Emeric de Foucauld
Design, Automation & Test in Europe Conference, Mar 2016, Dresden, Germany
Communication dans un congrès hal-01359620v1
Image document

Testing and Reliability of Spiking Neural Networks: A Review of the State-of-the-Art

Haralampos-G. Stratigopoulos , Theofilos Spyrou , Spyridon Raptis
36th IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2023), Oct 2023, Juan-Les-Pins, France
Communication dans un congrès hal-04176109v1
Image document

Testability and Dependability of AI Hardware: Survey, Trends, Challenges, and Perspectives

Fei Su , Chunsheng Liu , Haralampos-G. Stratigopoulos
IEEE Design & Test, 2023, 40 (2), pp.8 - 58. ⟨10.1109/MDAT.2023.3241116⟩
Article dans une revue hal-03961502v1
Image document

Adaptive Test with Test Escape Estimation for Mixed-Signal ICs

Haralampos-G. Stratigopoulos , Christian Streitwieser
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2017, ⟨10.1109/TCAD.2017.2783302⟩
Article dans une revue hal-01669350v1
Image document

Neuron Fault Tolerance in Spiking Neural Networks

Theofilos Spyrou , Sarah A El-Sayed , Engin Afacan , Luis A Camuñas-Mesa , Bernabé Linares-Barranco , et al.
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), Feb 2021, Grenoble (virtuel), France. pp.743-748, ⟨10.23919/DATE51398.2021.9474081⟩
Communication dans un congrès hal-03036630v1
Image document

Hardware Trojan Attacks in Analog/Mixed-Signal ICs via the Test Access Mechanism

Mohamed Elshamy , Giorgio Di Natale , Antonios Pavlidis , Marie-Minerve Louërat , Haralampos-G. Stratigopoulos
IEEE European Test Symposium, May 2020, Tallinn, Estonia. ⟨10.1109/ETS48528.2020.9131560⟩
Communication dans un congrès hal-02532389v1
Image document

A Fully-Digital BIST Wrapper Based on Ternary Test Stimuli for the Dynamic Test of a 40 nm CMOS 18-bit Stereo Audio ΣΔ ADC

Manuel J. Barragan , Rshdee Alhakim , Haralampos-G. Stratigopoulos , Matthieu Dubois , Salvador Mir , et al.
IEEE Transactions on Circuits and Systems I: Regular Papers, 2016, ⟨10.1109/TCSI.2016.2602387⟩
Article dans une revue hal-01447789v1