Accéder directement au contenu

Giorgio Di Natale

246
Documents

Présentation

Giorgio Di Natale received the PhD in Computer Engineering from the Politecnico di Torino in 2003. He is director of research for the National Research Center of France at the TIMA laboratory in Grenoble. His research interests include hardware security and trust, secure circuits design and test, reliability evaluation and fault tolerance, software implemented hardware fault tolerance, and VLSI testing.

Publications

Image document

On hardware security and trust for chiplet-based 2.5D and 3D ICs: Challenges and Innovations

Suzano Da Fonseca Juan , Abouzeid Fady , Giorgio Di Natale , Philippe Anthony , Roche Philippe
IEEE Access, 2024, 12, pp.29778 - 29794. ⟨10.1109/ACCESS.2023.0322000⟩
Article dans une revue hal-04309444v2
Image document

Using Approximate Circuits Against Hardware Trojans

Honorio Martin , Sophie Dupuis , Giorgio Di Natale , Luis Entrena
IEEE Design & Test, 2023, 40 (3), pp.8-16. ⟨10.1109/MDAT.2021.3117741⟩
Article dans une revue hal-03370908v1
Image document

Introduction to the Special Issue on CAD for Security: Pre-silicon Security Sign-off Solutions Through Design Cycle

Farimah Farahmandi , Ankur Srivastava , Giorgio Di Natale , Mark Tehranipoor
ACM Journal on Emerging Technologies in Computing Systems, 2023, 19 (1), ⟨10.1145/3584317⟩
Article dans une revue hal-04039759v1
Image document

SRAM-Based PUF Readouts

Sergio Vinagrero Gutierrez , Honorio Martin , Alice de Bignicourt , Ioana Vatajelu , Giorgio Di Natale
Scientific Data , 2023, 10, ⟨10.1038/s41597-023-02225-9⟩
Article dans une revue hal-04110272v1
Image document

Python Framework for Modular and Parametric SPICE Netlists Generation

Sergio Vinagrero Gutierrez , Giorgio Di Natale , Ioana Vatajelu
Electronics, 2023, 12 (18), pp.3970. ⟨10.3390/electronics12183970⟩
Article dans une revue hal-04493511v1
Image document

Side Channel and Fault Analyses on Memristor-Based Logic In-Memory

Pietro Inglese , Ioana Vatajelu , Giorgio Di Natale
IEEE Design & Test, 2023, ⟨10.1109/MDAT.2023.3324522⟩
Article dans une revue hal-04252272v1
Image document

Flexible and Portable Management of Secure Scan Implementations Exploiting P1687.1 Extensions

Michele Portolan , Emanuele Valea , Paolo Maistri , Giorgio Di Natale
IEEE Design & Test, 2022, IEEE Design & Test, 39 (3), pp.117-124. ⟨10.1109/MDAT.2021.3117875⟩
Article dans une revue hal-03370952v1
Image document

DETON: DEfeating hardware Trojan horses in microprocessors through software ObfuscatioN

Luca Cassano , Mattia Iamundo , Tomas Antonio Lopez , Alessandro Nazzari , Giorgio Di Natale
Journal of Systems Architecture, 2022, 129, pp.102592. ⟨10.1016/j.sysarc.2022.102592⟩
Article dans une revue hal-03691634v1
Image document

Noise-free security assessment of eviction set construction algorithms with randomized caches

Amine Jaamoun , Thomas Hiscock , Giorgio Di Natale
Applied Sciences, 2022, 12 (5), pp.2415. ⟨10.3390/app12052415⟩
Article dans une revue hal-03640388v1
Image document

Strong PUF Enrollment with Machine Learning: A Methodical Approach

Amir Ali Pour , David Hely , Vincent Beroulle , Giorgio Di Natale
Electronics, 2022, 11 (4), pp.653. ⟨10.3390/electronics11040653⟩
Article dans une revue hal-03640365v1
Image document

Helper Data Masking for Physically Unclonable Function-based Key Generation Algorithms

Amir Ali Pour , Fatemeh Afghah , David Hely , Vincent Beroulle , Giorgio Di Natale
IEEE Access, 2022, 10, pp.40150 - 40164. ⟨10.1109/ACCESS.2022.3165284⟩
Article dans une revue hal-03640536v1
Image document

Digital-to-Analog Hardware Trojan Attacks

Mohamed Elshamy , Giorgio Di Natale , Alhassan Sayed , Antonios Pavlidis , Marie-Minerve Louërat
IEEE Transactions on Circuits and Systems I: Regular Papers, 2022, 69 (2), pp.573-586. ⟨10.1109/TCSI.2021.3116806⟩
Article dans une revue hal-03357106v1
Image document

Nonlinear Code-based Low-Overhead Fine-Grained Control Flow Checking

G. Dar , Giorgio Di Natale , O. Keren
IEEE Transactions on Computers, 2021, ⟨10.1109/TC.2021.3057132⟩
Article dans une revue hal-03152639v1
Image document

Holding Conferences Online due to COVID-19: The DATE Experience

Giorgio Di Natale , C. Bolchini
IEEE Design & Test, 2020, 37 (3), pp.116-118. ⟨10.1109/MDAT.2020.2995140⟩
Article dans une revue hal-02883971v1

Preventing Scan Attacks on Secure Circuits Through Scan Chain Encryption

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2019, 38 (3), pp.538-550. ⟨10.1109/TCAD.2018.2818722⟩
Article dans une revue lirmm-01867245v1

Enhancing PUF Based Challenge-Response Sets by Exploiting Various Background Noise Configurations

Honorio Martin , Pedro Peris-Lopez , Giorgio Di Natale , M. Taouil , Said Hamdioui
Electronics, 2019, 8 (2), ⟨10.3390/electronics8020145⟩
Article dans une revue hal-02048700v1

High-Entropy STT-MTJ-based TRNG

Elena Ioana Vatajelu , Giorgio Di Natale
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019, ⟨10.1109/TVLSI.2018.2879439⟩
Article dans une revue hal-01994751v1
Image document

Stream vs Block ciphers for scan encryption

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
Microelectronics Journal, 2019, 86, pp.65-76. ⟨10.1016/j.mejo.2019.02.019⟩
Article dans une revue lirmm-02306938v1

Memory-Aware Design Space Exploration for Reliability Evaluation in Computing Systems

Maha Kooli , Giorgio Di Natale , Alberto Bosio
Journal of Electronic Testing: : Theory and Applications, 2019, ⟨10.1007/s10836-019-05785-0⟩
Article dans une revue hal-02078889v1
Image document

A Survey on Security Threats and Countermeasures in IEEE Test Standards

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Design & Test, 2019, 36 (3), pp.95-116. ⟨10.1109/MDAT.2019.2899064⟩
Article dans une revue hal-02166858v1

SyRA: Early System Reliability Analysis for Cross-layer Soft Errors Resilience in Memory Arrays of Microprocessor Systems

Alessandro Vallero , Alessandro Savino , Athanasios Chatzidimitriou , Manolis Kaliorakis , Maha Kooli
IEEE Transactions on Computers, 2019, 68 (5), pp.765-783. ⟨10.1109/TC.2018.2887225⟩
Article dans une revue lirmm-01961657v1

Sensitivity to Laser Fault Injection: CMOS FD-SOI vs. CMOS bulk

J.-M. Dutertre , Vincent Beroulle , Philippe Candelier , Stephan de Castro , Louis-Barthelemy Faber
IEEE Transactions on Device and Materials Reliability, 2019, 19 (1), pp.6-15. ⟨10.1109/TDMR.2018.2886463⟩
Article dans une revue hal-01971932v1

Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults

Raphael Viera , Jean-Max Dutertre , Marie-Lise Flottes , Olivier Potin , Giorgio Di Natale
Microelectronics Reliability, 2018, 88-90, pp.128-134. ⟨10.1016/j.microrel.2018.07.111⟩
Article dans une revue hal-01893676v1
Image document

A Ring Oscillator-Based Identification Mechanism Immune to Aging and External Working Conditions

Mario Barbareschi , Giorgio Di Natale , Lionel Torres , Antonino Mazzeo
IEEE Transactions on Circuits and Systems I: Regular Papers, 2018, 65 (2), pp.700-711. ⟨10.1109/TCSI.2017.2727546⟩
Article dans une revue lirmm-01692481v1

Guest Editorial: IEEE Transactions on Emerging Topics in Computing Special Issue on Design & Technology of Integrated Systems in Deep Submicron Era

Giorgio Di Natale , Marco Ottavi
IEEE Transactions on Emerging Topics in Computing, 2018, 6 (2), pp.170-171. ⟨10.1109/TETC.2018.2802788⟩
Article dans une revue lirmm-02520118v1
Image document

Protection against Hardware Trojans with Logic Testing: Proposed Solutions and Challenges Ahead

Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IEEE Design & Test, 2018, 35 (2), pp.73-90. ⟨10.1109/MDAT.2017.2766170⟩
Article dans une revue lirmm-01688166v1

Towards a Dependable True Random Number Generator With Self-Repair Capabilities

Honorio Martin , Giorgio Di Natale , Luis Entrena
IEEE Transactions on Circuits and Systems I: Regular Papers, 2018, 65 (1), pp.247-256. ⟨10.1109/TCSI.2017.2711033⟩
Article dans une revue lirmm-01700736v1

Computing reliability: On the differences between software testing and software fault injection techniques

Maha Kooli , Firas Kaddachi , Giorgio Di Natale , Alberto Bosio , Pascal Benoit
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 50, pp.102-112. ⟨10.1016/j.micpro.2017.02.007⟩
Article dans une revue lirmm-01693156v1

Report on DATE 2017 in Lausanne

David Atienza , Giorgio Di Natale
IEEE Design & Test, 2017, 34 (4), pp.76-77. ⟨10.1109/MDAT.2017.2693266⟩
Article dans une revue lirmm-01700737v1

Frontside Versus Backside Laser Injection: A Comparative Study

Stephan de Castro , Jean-Max Dutertre , Bruno Rouzeyre , Giorgio Di Natale , Marie-Lise Flottes
ACM Journal on Emerging Technologies in Computing Systems, 2016, Special Issue on Secure and Trustworthy Computing, 13 (1), pp.7. ⟨10.1145/2845999⟩
Article dans une revue lirmm-01444121v1

Ring oscillators analysis for security purposes in Spartan-6 FPGAs

Mario Barbareschi , Giorgio Di Natale , Florent Bruguier , Pascal Benoit , Lionel Torres
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 47 (Part A), pp.3-10. ⟨10.1016/j.micpro.2016.06.005⟩
Article dans une revue lirmm-01421001v1

Introduction to Special Issue on Trustworthy Manufacturing and Utilization of Secure Devices (TRUDEVICE)

Lilian Bossuet , Giorgio Di Natale , Paris Kitsos
Microprocessors and Microsystems: Embedded Hardware Design , 2016, 47 (A), pp.1-2. ⟨10.1016/j.micpro.2016.11.004⟩
Article dans une revue lirmm-01499334v1

STT-MRAM-Based PUF Architecture exploiting Magnetic Tunnel Junction Fabrication-Induced Variability

Elena Ioana Vatajelu , Giorgio Di Natale , Mario Barbareschi , Lionel Torres , Marco Indaco
ACM Journal on Emerging Technologies in Computing Systems, 2016, 13 (1), ⟨10.1145/2790302⟩
Article dans une revue lirmm-01234046v1
Image document

Cross-layer reliability evaluation, moving from the hardware architecture to the system level: A CLERECO EU project overview

Alessandro Vallero , Sotiris Tselonis , Nikos Foutris , Manolis Kaliorakis , Maha Kooli
Microprocessors and Microsystems: Embedded Hardware Design , 2015, 39 (8), pp.1204-1214. ⟨10.1016/j.micpro.2015.06.003⟩
Article dans une revue lirmm-01297595v1

TRUDEVICE: A COST Action on "Trustworthy Manufacturing and Utilization of Secure Devices" (Editorial)

Giorgio Di Natale
Information Security Journal: A Global Perspective, 2014, 22 (5-6), pp.205-207. ⟨10.1080/19393555.2014.891283⟩
Article dans une revue lirmm-01075402v1
Image document

On the Effectiveness of Hardware Trojan Horse Detection via Side-Channel Analysis

Sophie Dupuis , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Information Security Journal: A Global Perspective, 2014, Trustworthy Manufacturing and Utilization of Secure Devices, 22 (5-6), pp.226-236. ⟨10.1080/19393555.2014.891277⟩
Article dans une revue lirmm-00991362v1
Image document

Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2014, 54 (9-10), pp.2289-2294. ⟨10.1016/j.microrel.2014.07.151⟩
Article dans une revue emse-01094805v1

Testing Methods for PUF-Based Secure Key Storage Circuits

Mafalda Cortez , Gijs Roelofs , Said Hamdioui , Giorgio Di Natale
Journal of Electronic Testing: : Theory and Applications, 2014, 30 (5), pp.581-594. ⟨10.1007/s10836-014-5471-7⟩
Article dans une revue lirmm-01234059v1

Multi-Level Ionizing-Induced Transient Fault Simulator

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Information Security Journal: A Global Perspective, 2014, 22 (5-6), pp.251-264. ⟨10.1080/19393555.2014.891280⟩
Article dans une revue lirmm-01075393v1
Image document

Thwarting Scan-Based Attacks on Secure-ICs with On-Chip Comparison

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2014, 22 (4), pp.947-951. ⟨10.1109/TVLSI.2013.2257903⟩
Article dans une revue lirmm-00841650v1

Test versus Security: Past and Present

Jean da Rolt , Amitabh Das , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Emerging Topics in Computing, 2014, 2 (1), pp.50-62. ⟨10.1109/TETC.2014.2304492⟩
Article dans une revue lirmm-00989627v1
Image document

Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2013, European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, 53 (9), pp.1320-1324. ⟨10.1016/j.microrel.2013.07.069⟩
Article dans une revue emse-01100723v1
Image document

Secure JTAG Implementation Using Schnorr Protocol

Amitabh Das , Jean da Rolt , Santosh Ghosh , Stefaan Seys , Sophie Dupuis
Journal of Electronic Testing: : Theory and Applications, 2013, 29 (2), pp.193-209. ⟨10.1007/s10836-013-5369-9⟩
Article dans une revue lirmm-00837904v1

A Novel Differential Scan Attack on Advanced DFT Structures

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ACM Transactions on Design Automation of Electronic Systems, 2013, 18 (4), pp.58. ⟨10.1145/2505014⟩
Article dans une revue lirmm-01075410v1
Image document

A New Recovery Scheme Against Short-to-Long Duration Transient Faults in Combinational Logic

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Feng Lu , Bruno Rouzeyre
Journal of Electronic Testing: : Theory and Applications, 2013, 29, pp.331-340. ⟨10.1007/s10836-013-5359-y⟩
Article dans une revue lirmm-00838389v1

Scan attacks on side-channel and fault attack resistant public-key implementations

Jean da Rolt , Amitabh Das , Santos Ghosh , Giorgio Di Natale , Marie-Lise Flottes
Journal of Cryptographic Engineering, 2012, 2 (4), pp.207-219. ⟨10.1007/s13389-012-0045-z⟩
Article dans une revue lirmm-00805687v1
Image document

Novel Transient-Fault Detection Circuit Featuring Enhanced Bulk Built-in Current Sensor with Low-Power Sleep Mode

Rodrigo Possamai Bastos , Frank Sill Torres , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Microelectronics Reliability, 2012, 52 (9-10), pp.1781-1786. ⟨10.1016/j.microrel.2012.06.149⟩
Article dans une revue lirmm-00715117v1

Statistical Reliability Estimation of Microprocessor-Based Systems

Alessandro Savino , Stefano Di Carlo , Politano Gianfranco , Alfredo Benso , Alberto Bosio
IEEE Transactions on Computers, 2012, 61 (11), pp.1521-1534. ⟨10.1109/TC.2011.188⟩
Article dans une revue lirmm-00744608v1
Image document

A Reliable Architecture for Parallel Implementations of the Advanced Encryption Standard

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
Journal of Electronic Testing: : Theory and Applications, 2009, 25 (4-5), pp.269-278. ⟨10.1007/s10836-009-5106-6⟩
Article dans une revue lirmm-00423026v1

Self-Test Techniques for Crypto-Devices

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2009, 18 (2), pp.329-333. ⟨10.1109/TVLSI.2008.2010045⟩
Article dans une revue lirmm-00365359v1

March Test Generation Revealed

Alfredo Benso , Alberto Bosio , Stefano Di Carlo , Giorgio Di Natale , Paolo Prinetto
IEEE Transactions on Computers, 2008, 57 (12), pp.1704-1713. ⟨10.1109/TC.2008.105⟩
Article dans une revue lirmm-00350780v1

March Test BDN, a new March Test for Dynamic Faults

Alberto Bosio , Giorgio Di Natale
Journal of Control Engineering and Applied Informatics, 2008, 10 (2), pp.3-9. ⟨10.1109/AQTR.2008.4588712⟩
Article dans une revue lirmm-00324111v1
Image document

Experimental Evaluation of Delayed-Based Detectors Against Power-off Attack

Maryam Esmaeilian , Aghiles Douadi , Zahra Kazemi , Vincent Beroulle , Mirbaha Amir-Pasha
IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), Jul 2023, Crete, Greece. ⟨10.1109/IOLTS59296.2023.10224876⟩
Communication dans un congrès hal-04193277v1
Image document

On-Line Method to Limit Unreliability and Bit-Aliasing in RO-PUF

Sergio Vinagrero Gutierrez , Giorgio Di Natale , Ioana Vatajelu
IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), Jul 2023, Crete, Greece. ⟨10.1109/IOLTS59296.2023.10224877⟩
Communication dans un congrès hal-04193294v1
Image document

NEUROPULS: NEUROmorphic energy-efficient secure accelerators based on Phase change materials aUgmented siLicon photonicS

Fabio Pavanello , Cédric Marchand , Ian O'Connor , Régis Orobtchouk , Fabien Mandorlo
ETS 2023 - IEEE European Test Symposium, IEEE, May 2023, Venise, Italy. ⟨10.48550/arXiv.2305.03139⟩
Communication dans un congrès hal-04103942v1
Image document

A Study of High Temperature Effects on Ring Oscillator based Physical Unclonable Functions

Aghiles Douadi , Giorgio Di Natale , Paolo Maistri , Ioana Vatajelu , Vincent Beroulle
29th IEEE International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), Jul 2023, Chania, Greece
Communication dans un congrès hal-04169632v1
Image document

Open Automation Framework for Complex Parametric Electrical Simulations

Sergio Vinagrero Gutierrez , Pietro Inglese , Giorgio Di Natale , Ioana Vatajelu
International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), IEEE, May 2023, Tallinn, Estonia
Communication dans un congrès hal-04103996v1
Image document

On-Line Reliability Estimation of Ring Oscillator PUF

Sergio Vinagrero Gutierrez , Giorgio Di Natale , Elena Ioana Vatajelu
IEEE European Test Symposium (ETS 2022), May 2022, Barcelona, Spain. ⟨10.1109/ETS54262.2022.9810418⟩
Communication dans un congrès hal-03767650v1
Image document

MBSA Approaches Applied to Next Decade Digital System-On-a-Chip Components

Tiziano Fiorucci , Thomas Jacquet , Jean-Marc Daveau , Giorgio Di Natale , Emmanuel Arbaretier
Congrès Lambda Mu 23 « Innovations et maîtrise des risques pour un avenir durable » - 23e Congrès de Maîtrise des Risques et de Sûreté de Fonctionnement, Institut pour la Maîtrise des Risques, Oct 2022, Paris Saclay, France
Communication dans un congrès hal-03877954v1
Image document

Sub-Space Modeling: An Enrollment Solution for XOR Arbiter PUF using Machine Learning

Amir Ali Pour , David Hely , Vincent Beroulle , Giorgio Di Natale
International Symposium on Quality Electronic Design (ISQED 2022), Apr 2022, Virtual event, United States. ⟨10.1109/ISQED54688.2022.9806267⟩
Communication dans un congrès hal-03599356v1
Image document

Circuit-to-Circuit Attacks in SoCs via Trojan-Infected IEEE 1687 Test Infrastructure

Michele Portolan , Antonios Pavlidis , Giorgio Di Natale , Eric Faehn , Haralampos-G. Stratigopoulos
2022 IEEE International Test Conference (ITC), Sep 2022, Anaheim, CA, United States. pp.539-543, ⟨10.1109/ITC50671.2022.00068⟩
Communication dans un congrès hal-03738329v1
Image document

Dependability of Alternative Computing Paradigms for Machine Learning: hype or hope?

Cristiana Bolchini , Alberto Bosio , Luca Cassano , Bastien Deveautour , Giorgio Di Natale
IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2022), Apr 2022, Prague, Czech Republic. ⟨10.1109/DDECS54261.2022.9770138⟩
Communication dans un congrès hal-03641285v1
Image document

An Efficient Approach to Model Strong PUF with Multi-Layer Perceptron using Transfer Learning

Amir Ali Pour , David Hely , Vincent Beroulle , Giorgio Di Natale
International Symposium on Quality Electronic Design (ISQED 2022), Apr 2022, Virtual event, United States. ⟨10.1109/ISQED54688.2022.9806257⟩
Communication dans un congrès hal-03599336v1
Image document

On the optimization of Software Obfuscation against Hardware Trojans in Microprocessors

Luca Cassano , Elia Lazzeri , Nikita Litovchenko , Giorgio Di Natale
IEEE International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2022), Apr 2022, Prague, Czech Republic
Communication dans un congrès hal-03616490v1
Image document

Software Product Reliability Based on Basic Block Metrics Recomposition

Tiziano Fiorucci , Giorgio Di Natale , Jean-Marc Daveau , Philippe Roche
IEEE 28th International Symposium on On-Line Testing and Robust System Design (IOLTS 2022), Sep 2022, Turin, Italy. ⟨10.1109/IOLTS56730.2022.9897289⟩
Communication dans un congrès hal-03768055v1

MBSA Approaches Applied to Next Decade Digital Components

Tiziano Fiorucci , Jean-Marc Daveau , Emmanuel Arbaretier , Giorgio Di Natale , Philippe Roche
IEEE European Test Symposium (ETS 2022), May 2022, Barcelona, Spain
Communication dans un congrès hal-03767686v1
Image document

Elaborating on Sub-Space Modeling as an Enrollment Solution for Strong PUF

Amir Ali Pour , David Hély , Vincent Beroulle , Giorgio Di Natale
18th IEEE International Conference on Distributed Computing in Sensor Systems (DCOSS 2022), May 2022, Los Angeles, United States
Communication dans un congrès hal-03767658v1
Image document

Secure PUF-based Authentication and Key Exchange Protocol using Machine Learning

Amir Ali Pour , Fatemeh Afghah , David Hely , Vincent Beroulle , Giorgio Di Natale
IEEE Computer Society Annual Symposium on VLSI (ISVLSI 2022), Jul 2022, Pafos, Cyprus
Communication dans un congrès hal-03689856v1
Image document

Design Space Exploration Applied to Security

Antoine Linarès , David Hely , F. Lhermet , Giorgio Di Natale
16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS 2021), Jun 2021, Montpellier, France. ⟨10.1109/DTIS53253.2021.9505151⟩
Communication dans un congrès hal-03351948v1
Image document

Plasticity in MTJ-based synapses

Salah Daddinounou , Elena Ioana Vatajelu , Giorgio Di Natale
TIMA Scientific Days 2021 : RISC-V in Research and Education, TIMA / LCIS / VERIMAG Laboratories, Jul 2021, Grenoble (FR), France
Communication dans un congrès hal-04358393v1
Image document

Memristive Logic-in-Memory Implementations: A Comparison

Pietro Inglese , Elena Ioana Vatajelu , Giorgio Di Natale
16th International Conference on PRIME, Jul 2021, Online, Germany
Communication dans un congrès hal-03370877v1
Image document

On the Limitations of Concatenating Boolean Operations in Memristive-Based Logic-In-Memory Solutions

Pietro Inglese , Elena Ioana Vatajelu , Giorgio Di Natale
16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS 2021), Jun 2021, Apulia (virtuelle), Italy. ⟨10.1109/DTIS53253.2021.9505096⟩
Communication dans un congrès hal-03351924v1

Hardware Security and Machine Learning: Hero or Hoax?

Giorgio Di Natale
22nd IEEE Latin-American Test Symposium (LATS 2021), Oct 2021, Virtual event, Brazil
Communication dans un congrès hal-03635104v1
Image document

Scramble Cache: An Efficient Cache Architecture for Randomized Set Permutation

Amine Jaamoun , Thomas Hiscock , Giorgio Di Natale
Design, Automation & Test in Europe Conference & Exhibition (DATE 2021), Feb 2021, Grenoble, France. ⟨10.23919/DATE51398.2021.9473919⟩
Communication dans un congrès hal-03351626v1

Security Primitives with Emerging Memories

Giorgio Di Natale , Elena Ioana Vatajelu
Design Automation Conference (DAC 2021), Dec 2021, San Francisco, United States
Communication dans un congrès hal-03652969v1
Image document

Identification of Hardware Devices based on Sensors and Switching Activity: a Preliminary Study

Honorio Martin , Elena Ioana Vatajelu , Giorgio Di Natale
Design, Automation & Test in Europe Conference & Exhibition (DATE 2021), Feb 2021, Grenoble (virtuel), France. ⟨10.23919/DATE51398.2021.9474173⟩
Communication dans un congrès hal-03351477v1
Image document

Automated Dysfunctional Model Extraction for Model Based Safety Assessment of Digital Systems

Tiziano Fiorucci , J.M. Daveau , Giorgio Di Natale , Philippe Roche
IEEE 27th International Symposium on On-Line Testing and Robust System Design (IOLTS 2021), Jun 2021, Torino, Italy. ⟨10.1109/IOLTS52814.2021.9486705⟩
Communication dans un congrès hal-03351793v1
Image document

Security EDA Extension through P1687.1 and 1687 Callbacks

Michele Portolan , V. Reynaud , Paolo Maistri , Régis Leveugle , Giorgio Di Natale
IEEE International Test Conference (ITC 2021), Oct 2021, Anaheim (CA), United States. pp.344-353, ⟨10.1109/ITC50571.2021.00050⟩
Communication dans un congrès hal-03629319v1
Image document

Machine Learning and Hardware security: Challenges and Opportunities

F. Regazzoni , S. Bhasin , Amir Ali Pour , Ihab Alshaer , F. Aydin
International Conference on Computer-Aided Design (ICCAD 2020), Nov 2020, San Diego, United States
Communication dans un congrès hal-02999327v1
Image document

Power of Prediction: Advantages of Deep Learning Modeling as Replacement for Traditional PUF CRP Enrollment

Amir Ali Pour , David Hely , Vincent Beroulle , Giorgio Di Natale
TrueDevice2020, Mar 2020, Grenoble, France
Communication dans un congrès hal-02954099v1
Image document

Hardware Trojan Attacks in Analog/Mixed-Signal ICs via the Test Access Mechanism

Mohamed Elshamy , Giorgio Di Natale , Antonios Pavlidis , Marie-Minerve Louërat , Haralampos-G. Stratigopoulos
IEEE European Test Symposium, May 2020, Tallinn, Estonia. ⟨10.1109/ETS48528.2020.9131560⟩
Communication dans un congrès hal-02532389v1
Image document

Nonlinear Codes for Control Flow Checking

Giorgio Di Natale , O. Keren
IEEE European Test Symposium (ETS 2020), May 2020, Tallinn, Estonia. ⟨10.1109/ETS48528.2020.9131592⟩
Communication dans un congrès hal-02899964v1
Image document

Latest Trends in Hardware Security and Privacy

Giorgio Di Natale , F. Regazzoni , V. Albanese , F. Lhermet , Y. Loisel
IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT 2020), Oct 2020, Rome, Italy
Communication dans un congrès hal-02999289v1
Image document

PUF Enrollment and Life Cycle Management: Solutions and Perspectives for the Test Community

Amir Ali Pour , Vincent Beroulle , Bertrand Cambou , Jean-Luc Danger , Giorgio Di Natale
IEEE European Test Symposium (ETS 2020), May 2020, Tallinn, Estonia. pp.1-10
Communication dans un congrès hal-02999226v1
Image document

Providing Confidentiality and Integrity in Ultra Low Power IoT Devices

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Sophie Dupuis
DTIS 2019 - 14th International Conference on Design & Technology of Integrated Systems in Nanoscale Era, Apr 2019, Mykonos, Greece. ⟨10.1109/DTIS.2019.8735090⟩
Communication dans un congrès hal-02166920v1

On the Reliability of the Ring Oscillator Physically Unclonable Functions

Elena Ioana Vatajelu , Giorgio Di Natale , O. Keren , Honorio Martin
IEEE 4th International Verification and Security Workshop (IVSW'2019), Jul 2019, Rhodes Island, Greece. pp.25-30
Communication dans un congrès hal-02506955v1

Special Session: Reliability of Hardware-Implemented Spiking Neural Networks (SNN)

Elena Ioana Vatajelu , Giorgio Di Natale , Lorena Anghel
IEEE VLSI Test Symposium (VTS 2019), Apr 2019, Monterey, United States
Communication dans un congrès hal-02166904v1

High-Entropy STT-MTJ-based TRNG

Elena Ioana Vatajelu , Giorgio Di Natale
8th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices (TRUDEVICE'2019), May 2019, Baden Baden, Germany
Communication dans un congrès hal-02506736v1
Image document

Stream Cipher Based Encryption in IEEE Test Standards

Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE 2019 - 8th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2019, Baden Baden, Germany
Communication dans un congrès hal-02506743v1
Image document

Encryption-Based Secure JTAG

Emanuele Valea , Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
DDECS 2019 - 22nd International Symposium on Design and Diagnostics of Electronic Circuits and Systems, Apr 2019, Cluj-Napoca, Romania. pp.1-6, ⟨10.1109/DDECS.2019.8724654⟩
Communication dans un congrès hal-02149061v1

HATE: a HArdware Trojan Emulation Environment for Microprocessor-based Systems

C. Bolchini , L. Cassano , I. Montalbano , G. Reppole , A. Zanetti
IEEE 25th International Symposium on On-Line Testing And Robust System Design (IOLTS'2019), Jul 2019, Rhodes Island, Greece
Communication dans un congrès hal-02506766v1
Image document

A Comprehensive Approach to a Trusted Test Infrastructure

Marc Merandat , Vincent Reynaud , Emanuele Valea , Jerome Quevremont , Nicolas Valette
IVSW 2019 - 4th IEEE International Verification and Security Workshop, Jul 2019, Rhodes, Greece. pp.43-48, ⟨10.1109/IVSW.2019.8854428⟩
Communication dans un congrès lirmm-02306980v1
Image document

Rebooting Computing: The Challenges for Test and Reliability

Alberto Bosio , Ian O'Connor , G. Rodrigues , F. Lima , Elena Ioana Vatajelu
2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2019, Noordwijk, Netherlands. pp.8138-8143, ⟨10.1109/DFT.2019.8875270⟩
Communication dans un congrès hal-02462194v1

Hidden-Delay-Fault Sensor for Test, Reliability and Security

Giorgio Di Natale , Elena Ioana Vatajelu , K. Senthamarai Kannan , Lorena Anghel
IEEE Design Automation and Test Conference in Europe (DATE 2019), Mar 2019, Florence, Italy
Communication dans un congrès hal-02166929v1

Alternatives to fault injections for early safety/security evaluations

Régis Leveugle , Michele Portolan , S. Di Carlo , A. Savino , Giorgio Di Natale
24th IEEE European Test Symposium (ETS 2019), May 2019, Baden Baden, Germany. ⟨10.1109/ETS.2019.8791555⟩
Communication dans un congrès hal-02110119v1
Image document

The case of using CMOS FD-SOI rather than CMOS bulk to harden ICs against laser attacks

Jean-Max Dutertre , Vincent Beroulle , Philippe Candelier , Louis-Barthelemy Faber , Marie-Lise Flottes
IOLTS: International On-Line Testing Symposium, Jul 2018, Platja d’Aro, Spain. pp.214-219, ⟨10.1109/IOLTS.2018.8474230⟩
Communication dans un congrès emse-01856000v1

A Novel Use of Approximate Circuits to Thwart Hardware Trojan Insertion and Provide Obfuscation

Honorio Martin , Luis Entrena , Sophie Dupuis , Giorgio Di Natale
IOLTS: International Symposium on On-Line Testing And Robust System Design, Jul 2018, Platja d'Aro, Spain. pp.41-42, ⟨10.1109/IOLTS.2018.8474077⟩
Communication dans un congrès lirmm-02095736v1
Image document

SI ECCS: SECure context saving for IoT devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
DTIS 2018 - 13th International Conference on Design and Technology of Integrated Systems in Nanoscale Era, Apr 2018, Taormina, Italy. ⟨10.1109/DTIS.2018.8368561⟩
Communication dans un congrès hal-01740173v1
Image document

A new secure stream cipher for scan chain encryption

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
3rd IEEE International Verification and Security Workshop (IVSW 2018), Jul 2018, Platja d’Aro, Spain. pp.68-73, ⟨10.1109/IVSW.2018.8494852⟩
Communication dans un congrès lirmm-01867256v1
Image document

Does stream cipher-based scan chains encryption really prevent scan attacks?

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE Workshop, Mar 2018, Dresden, Germany
Communication dans un congrès lirmm-01867286v1
Image document

Laser fault injection at the CMOS 28 nm technology node: an analysis of the fault model

Jean-Max Dutertre , Vincent Beroulle , Philippe Candelier , Stephan de Castro , Louis-Barthelemy Faber
FDTC: Fault Diagnosis and Tolerance in Cryptography, Sep 2018, Amsterdam, Netherlands. pp.1-6, ⟨10.1109/FDTC.2018.00009⟩
Communication dans un congrès emse-01856008v1

Neuromorphic Computing - From Robust Hardware Architectures to Testing Strategies

Lorena Anghel , Giorgio Di Natale , Benoit Miramond , Elena Ioana Vatajelu , E. Vianello
26th IFIP IEEE International Conference on Very Large Scale Integration (VLSI SOC 2018), Oct 2018, Verona, Italy. pp.176-179, ⟨10.1109/VLSI-SoC.2018.8644897⟩
Communication dans un congrès hal-01961756v1
Image document

Encryption of test data: which cipher is better?

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Sophie Dupuis , Giorgio Di Natale
PRIME: PhD Research in Microelectronics and Electronics, Jul 2018, Prague, Czech Republic. pp.85-88, ⟨10.1109/PRIME.2018.8430366⟩
Communication dans un congrès lirmm-01867249v1

Assessing Body Built-In Current Sensors for Detection of Multiple Transient Faults

Raphael Viera , Jean-Max Dutertre , Marie-Lise Flottes , Olivier Potin , Giorgio Di Natale
ESREF 2018 - European Symposium on Reliability of Electron Devices, Failure Physics and Analysis, Oct 2018, Aalborg, Denmark
Communication dans un congrès hal-04457522v1
Image document

Scan chain encryption for the test, diagnosis and debug of secure circuits

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre , Paolo Prinetto
ETS: European Test Symposium, May 2017, Limassol, Cyprus. ⟨10.1109/ETS.2017.7968248⟩
Communication dans un congrès lirmm-01699254v1
Image document

Scan Chain Encryption

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
DOCTIS: Journée des Doctorants de l’école doctorale I2S, 2017, Montpellier, France
Communication dans un congrès lirmm-01867277v1

Reliability of computing systems: From flip flops to variables

Giorgio Di Natale , Maha Kooli , Alberto Bosio , Michele Portolan , Régis Leveugle
IOLTS: International On-Line Testing Symposium, Jul 2017, Thessaloniki, Greece. pp.196-198, ⟨10.1109/IOLTS.2017.8046242⟩
Communication dans un congrès lirmm-01700744v1

Do we need a holistic approach for the design of secure IoT systems?

Mauro Contini , Giorgio Di Natale , Annelie Heuser , Thomas Poppelmann , Nele Mentens
CF: Computing Frontiers, May 2017, Siena, Italy. pp.425-430, ⟨10.1145/3075564.3079070⟩
Communication dans un congrès hal-01628683v1
Image document

Experimentations on scan chain encryption with PRESENT

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.45-50, ⟨10.1109/IVSW.2017.8031543⟩
Communication dans un congrès lirmm-01699258v1

Zero bit-error-rate weak PUF based on Spin-Transfer-Torque MRAM memories

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.128-133, ⟨10.1109/IVSW.2017.8031552⟩
Communication dans un congrès hal-01591549v1

Hacking the Control Flow error detection mechanism

Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2017, Thessaloniki, Greece. pp.51-56, ⟨10.1109/IVSW.2017.8031544⟩
Communication dans un congrès lirmm-01700739v1
Image document

Cache-aware reliability evaluation through LLVM-based analysis and fault injection

Maha Kooli , Giorgio Di Natale , Alberto Bosio
IOLTS: International On-Line Testing Symposium, Jul 2016, Sant Feliu de Guixols, Spain. pp.19-22, ⟨10.1109/IOLTS.2016.7604663⟩
Communication dans un congrès lirmm-01444619v1
Image document

Using Outliers to Detect Stealthy Hardware Trojan Triggering?

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
IVSW: International Verification and Security Workshop, Jul 2016, Sant Feliu de Guixols, France
Communication dans un congrès lirmm-01347119v1

SEcube™: An open-source security platform in a single SoC

Antonio Varriale , Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto , Pascal Trotta
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2016, Istanbaul, Turkey. ⟨10.1109/DTIS.2016.7483810⟩
Communication dans un congrès lirmm-01444711v1

Security primitives (PUF and TRNG) with STT-MRAM

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
VTS: VLSI Test Symposium, Apr 2016, Las Vegas, United States. ⟨10.1109/VTS.2016.7477292⟩
Communication dans un congrès lirmm-01374573v1
Image document

Hardware Trust through Layout Filling: a Hardware Trojan Prevention Technique

Papa-Sidy Ba , Sophie Dupuis , Manikandan Palanichamy , Marie-Lise Flottes , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2016, Pittsburgh, United States. pp.254-259, ⟨10.1109/ISVLSI.2016.22⟩
Communication dans un congrès lirmm-01346529v1

Cross-layer system reliability assessment framework for hardware faults

Alessandro Vallero , Alessandro Savino , Gianfranco Michele Maria Politano , Stefano Di Carlo , Athanasios Chatzidimitriou
ITC: International Test Conference, Nov 2016, Fort Worth, TX, United States. ⟨10.1109/TEST.2016.7805863⟩
Communication dans un congrès lirmm-01444774v1

STT-MTJ-based TRNG with on-the-fly temperature/current variation compensation

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
IOLTS: International On-Line Testing Symposium, Jul 2016, Sant Feliu de Guixols, Spain. pp.179-184, ⟨10.1109/IOLTS.2016.7604694⟩
Communication dans un congrès lirmm-01444408v1
Image document

Towards a Highly Reliable SRAM-based PUFs

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
DATE 2016 - 19th Design, Automation and Test in Europe Conference and Exhibition, Mar 2016, Dresden, Germany. pp.273-276
Communication dans un congrès lirmm-01374279v1
Image document

SCHIFI: Scalable and flexible high performance FPGA-based fault injector

Suman Sau , Maha Kooli , Giorgio Di Natale , Alberto Bosio , Amlan Chakrabarti
DCIS 2016 - 31st Conference on Design of Circuits and Integrated Systems, Nov 2016, Granada, Spain. ⟨10.1109/DCIS.2016.7845375⟩
Communication dans un congrès lirmm-01700747v1
Image document

Towards Model Driven Design of Crypto Primitives and Processes

Alberto Carelli , Giorgio Di Natale , Pascal Trotta , Tiziana Margaria
SAM: Sensor Array and Multichannel Signal Processing, Jul 2016, Rio de Janeiro, Brazil. pp.152-158
Communication dans un congrès lirmm-01444948v1

True random number generator based on nanomagnets

Luca Gnoli , Matteo Bollo , Marco Vacca , Mariagrazia Graziano , Giorgio Di Natale
NMDC: Nanotechnology Materials and Devices Conference, Oct 2016, Toulouse, France. ⟨10.1109/NMDC.2016.7777089⟩
Communication dans un congrès lirmm-01444398v1
Image document

Improving Stress Quality for SoC Using Faster-than-At-Speed Execution of Functional Programs

Paolo Bernardi , Alberto Bosio , Giorgio Di Natale , Andrea Guerriero , Ernesto Sanchez
VLSI-SoC: System-on-Chip in the Nanoscale Era – Design, Verification and Reliability, Sep 2016, Tallinn, Estonia. pp.130-151, ⟨10.1007/978-3-319-67104-8_7⟩
Communication dans un congrès hal-01675205v1

Cache- and register-aware system reliability evaluation based on data lifetime analysis

Maha Kooli , Firas Kaddachi , Giorgio Di Natale , Alberto Bosio
VTS: VLSI Test Symposium, Apr 2016, Las Vegas, United States. ⟨10.1109/VTS.2016.7477299⟩
Communication dans un congrès lirmm-01374569v1

Faster-than-at-speed execution of functional programs: An experimental analysis

Paolo Bernardi , Alberto Bosio , Giorgio Di Natale , Andrea Guerriero , Federico Venini
VLSI-SoC: Very Large Scale Integration and System-on-Chip, Sep 2016, Tallinn, Estonia. ⟨10.1109/VLSI-SoC.2016.7753581⟩
Communication dans un congrès lirmm-01444403v1
Image document

Duplication-based Concurrent Detection of Hardware Trojans in Integrated Circuits

Manikandan Palanichamy , Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
TRUDEVICE, Nov 2016, Barcelona, Spain
Communication dans un congrès lirmm-01385551v1

Ring Oscillators Analysis for FPGA Security Purposes

Mario Barbareschi , Giorgio Di Natale , Florent Bruguier , Pascal Benoit , Lionel Torres
TRUDEVICE, Mar 2015, Grenoble, France
Communication dans un congrès lirmm-01419909v1

Sensitivity to fault laser injection: a comparison between 28nm bulk and FD-SOI technology

Stephan de Castro , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01234094v1
Image document

Figure of merits of 28nm Si technologies for implementing laser attack resistant security dedicated circuits

Stephan de Castro , Jean-Max Dutertre , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.362-367, ⟨10.1109/ISVLSI.2015.76⟩
Communication dans un congrès emse-01227138v1

Hierarchical Secure DfT

Mafalda Cortez , Said Hamdioui , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, St Malo, France
Communication dans un congrès lirmm-01234095v1

Digital Right Management for IP Protection

Jerome Rampon , Renaud Perillat , Lionel Torres , Pascal Benoit , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.200-203, ⟨10.1109/ISVLSI.2015.127⟩
Communication dans un congrès lirmm-01234082v1

SEcubeTM: The most advanced, Open Source Security Platform in a Single Chip

Antonio Varriale , Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto , Tiziana Margaria
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01276298v1
Image document

New Testing Procedure for Finding Insertion Sites of Stealthy Hardware Trojans

Sophie Dupuis , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale , Papa-Sidy Ba
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.776-781, ⟨10.7873/DATE.2015.1102⟩
Communication dans un congrès lirmm-01141619v1

Session-less based thermal-aware 3D-SIC test scheduling

Marie-Lise Flottes , João Azevedo , Giorgio Di Natale , Bruno Rouzeyre
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138732⟩
Communication dans un congrès lirmm-01922990v1
Image document

STT MRAM-Based PUFs

Elena Ioana Vatajelu , Giorgio Di Natale , Marco Indaco , Paolo Prinetto
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.872-875, ⟨10.7873/DATE.2015.0505⟩
Communication dans un congrès lirmm-01234087v1

STT-MRAM-Based Strong PUF Architecture

Elena Ioana Vatajelu , Giorgio Di Natale , Lionel Torres , Paolo Prinetto
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.467-472, ⟨10.1109/ISVLSI.2015.128⟩
Communication dans un congrès lirmm-01234079v1
Image document

Hardware Trojan Prevention using Layout-Level Design Approach

Papa-Sidy Ba , Manikandan Palanichamy , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale
ECCTD: European Conference on Circuit Theory and Design, Aug 2015, Trondheim, Norway. ⟨10.1109/ECCTD.2015.7300093⟩
Communication dans un congrès lirmm-01234072v1

Exploiting the Variability of the Magnetic Tunnel Junction for Security Purposes

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
e-NVM: Leading Edge Embedded NVM, Sep 2015, Gardanne, France
Communication dans un congrès lirmm-01276293v1

Zero Bit-Error-Rate Weak PUF based on Spin-Transfer-Torque MRAM Memories

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01276300v1
Image document

Challenges in Designing Trustworthy Cryptographic Co-Processors

Ricardo Chaves , Giorgio Di Natale , Lejla Batina , Shivam Bhasin , Baris Ege
ISCAS: International Symposium on Circuits and Systems, May 2015, Lisbon, Portugal. pp.2009-2012, ⟨10.1109/ISCAS.2015.7169070⟩
Communication dans un congrès lirmm-01234083v1
Image document

3D DFT Challenges and Solutions

Yassine Fkih , Pascal Vivet , Marie-Lise Flottes , Bruno Rouzeyre , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.603-608, ⟨10.1109/ISVLSI.2015.11⟩
Communication dans un congrès lirmm-01234076v1

Validation Of Single BBICS Architecture In Detecting Multiple Faults

Raphael Andreoni Camponogara-Viera , Rodrigo Possamai Bastos , Jean-Max Dutertre , Olivier Potin , Marie-Lise Flottes
ATS: Asian Test Symposium, Nov 2015, Mumbai, India
Communication dans un congrès lirmm-01234067v1

Multi-segment Enhanced Scan-chains for Secure ICs

Mafalda Cortez , Said Hamdioui , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, Sep 2015, Saint-Malo, France
Communication dans un congrès lirmm-01276304v1
Image document

Cross-Layer Early Reliability Evaluation for the Computing cOntinuum

Stefano Di Carlo , Alessandro Vallero , Dirnitris Gizopoulos , Giorgio Di Natale
DSD: Digital System Design, Aug 2014, Verona, Italy. pp.199-205, ⟨10.1109/DSD.2014.65⟩
Communication dans un congrès lirmm-01234117v1
Image document

Simulating Laser Effects on ICs, from Physical Level to Gate Level: a comprehensive approach

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
TRUDEVICE Workshop, May 2014, Paderborn, Germany
Communication dans un congrès lirmm-01119614v1
Image document

Laser attacks on integrated circuits: from CMOS to FD-SOI

Jean-Max Dutertre , Stephan de Castro , Alexandre Sarafianos , Noémie Boher , Bruno Rouzeyre
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850664⟩
Communication dans un congrès emse-01099042v1
Image document

2D to 3D Test Pattern Retargeting Using IEEE P1687 Based 3D DFT Architectures

Yassine Fkih , Pascal Vivet , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2014, Tampa, FL, United States. pp.386-391, ⟨10.1109/ISVLSI.2014.83⟩
Communication dans un congrès lirmm-01119605v1

Fault injection tools based on Virtual Machines

Maha Kooli , Giorgio Di Natale , Pascal Benoit , Alberto Bosio , Lionel Torres
ReCoSoC: Reconfigurable and Communication-Centric Systems-on-Chip, May 2014, Montpellier, France. ⟨10.1109/ReCoSoC.2014.6861351⟩
Communication dans un congrès hal-01075479v1

Improving the ability of Bulk Built-In Current Sensors to detect Single Event Effects by using triple-well CMOS

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
25th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis (ESREF 2014), Sep 2014, Berlin, Germany
Communication dans un congrès hal-03094235v1

Hacking and Protecting IC Hardware

Said Hamdioui , Jean-Luc Danger , Giorgio Di Natale , Fethulah Smailbegovic , Gerard van Battum
DATE 2014 - 17th Design, Automation and Test in Europe Conference and Exhibition, Mar 2014, Dresden, Germany. ⟨10.7873/DATE.2014.112⟩
Communication dans un congrès lirmm-01234147v1
Image document

Cross-Layer Early Reliability Evaluation: Challenges and Promises

Stefano Di Carlo , Alessandro Vallero , Dimitris Gizopoulos , Giorgio Di Natale , Antonio Gonzales
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Girona, Spain. pp.228-233, ⟨10.1109/IOLTS.2014.6873704⟩
Communication dans un congrès lirmm-01234123v1

Multi-stage Cross-layer Hardware Trojan Prevention, Detection and Tolerance

Cristiana Bolchini , Luca Cassano , Giorgio Di Natale
Joint MEDIAN-TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Communication dans un congrès lirmm-01234110v1

Layout-Aware Laser Fault Injection Simulation and Modeling: from physical level to gate level

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorin, Greece. ⟨10.1109/DTIS.2014.6850665⟩
Communication dans un congrès lirmm-01119592v1
Image document

Hacking and protecting IC hardware

Saïd Hamdiaoui , Jean-Luc Danger , Giorgio Di Natale , Fethulah Smailbegovic , Gerard van Battum
DATE 2014 - 17th Design, Automation and Test in Europe Conference and Exhibition, Mar 2014, Dresden, Germany. ⟨10.7873/DATE.2014.112⟩
Communication dans un congrès hal-02412114v1
Image document

A Novel Hardware Logic Encryption Technique for thwarting Illegal Overproduction and Hardware Trojans

Sophie Dupuis , Papa-Sidy Ba , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Girona, Spain. pp.49-54, ⟨10.1109/IOLTS.2014.6873671⟩
Communication dans un congrès lirmm-01025275v1

TRUDEVICE Project: Trustworthy Manufacturing and Utilization of Secure Devices

Nicolas Sklavos , Giorgio Di Natale
HiPEAC Computing Systems Week (CSW), Oct 2014, Athens, Greece
Communication dans un congrès lirmm-01234099v1

MRAM-based PUF

Giorgio Di Natale , Paolo Prinetto , Elena Ioana Vatajelu
Joint MEDIAN-TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Communication dans un congrès lirmm-01234112v1
Image document

Laser-Induced Fault Effects in Security-Dedicated Circuits

Vincent Beroulle , Philippe Candelier , Stephan de Castro , Giorgio Di Natale , Jean-Max Dutertre
VLSI-SoC: Very Large Scale Integration and System-on-Chip, Oct 2014, Playa del Carmen, Mexico. pp.220-240, ⟨10.1007/978-3-319-25279-7_12⟩
Communication dans un congrès hal-01383737v1

A novel Adaptive Fault Tolerant Flip-Flop Architecture based on TMR

Luca Cassano , Alberto Bosio , Giorgio Di Natale
ETS: European Test Symposium, May 2014, Paderborn, Germany. ⟨10.1109/ETS.2014.6847831⟩
Communication dans un congrès lirmm-01234133v1
Image document

Design of Bulk Built-In Current Sensors to Detect Single Event Effects and Laser-Induced Fault Injection Attempts

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Giorgio Di Natale
Joint MEDIAN–TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Communication dans un congrès emse-01099040v1

Secure Test Method for Fuzzy Extractor

Mafalda Cortez , Gijs Roelofs , Said Hamdioui , Giorgio Di Natale
Joint MEDIAN-TRUDEVICE Open Forum, Sep 2014, Amsterdam, Netherlands
Communication dans un congrès lirmm-01234106v1

Built-In Self-Test for Manufacturing TSV Defects before bonding

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Hakim Zimouche
VTS: VLSI Test Symposium, Apr 2014, Napa, CA, United States. ⟨10.1109/VTS.2014.6818771⟩
Communication dans un congrès lirmm-00989682v1

Customized Cell Detector for Laser-Induced-Fault Detection

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2014, Platja d'Aro, Spain. pp.37-42, ⟨10.1109/IOLTS.2014.6873669⟩
Communication dans un congrès lirmm-01119576v1
Image document

A survey on simulation-based fault injection tools for complex systems

Maha Kooli , Giorgio Di Natale
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, May 2014, Santorini, Greece. ⟨10.1109/DTIS.2014.6850649⟩
Communication dans un congrès hal-01075473v1
Image document

Testing PUF-Based Secure Key Storage Circuits

Mafalda Cortez , Gijs Roelofs , Said Hamdioui , Giorgio Di Natale
DATE 2014 - 17th Design, Automation and Test in Europe Conference and Exhibition, Mar 2014, Dresden, Germany. ⟨10.7873/DATE.2014.207⟩
Communication dans un congrès lirmm-01234141v1
Image document

A single built-in sensor to check pull-up and pull-down CMOS networks against transient faults

Rodrigo Possamai Bastos , Frank Sill Torres , Jean-Max Dutertre , Marie-Lise Flottes , Giorgio Di Natale
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2013, Karlsruhe, Germany. pp.157-163, ⟨10.1109/PATMOS.2013.6662169⟩
Communication dans un congrès lirmm-00968621v1
Image document

A 3D IC BIST for pre-bond test of TSVs using Ring Oscillators

Yassine Fkih , Pascal Vivet , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
NEWCAS: New Circuits and Systems, Jun 2013, Paris, France. pp.001-004
Communication dans un congrès lirmm-00838524v1
Image document

Identification of Hardware Trojans triggering signals

Sophie Dupuis , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
First Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, May 2013, Avignon, France
Communication dans un congrès lirmm-00991360v1
Image document

A smart test controller for scan chains in secure circuits

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2013, Chania, Greece. pp.228-229, ⟨10.1109/IOLTS.2013.6604085⟩
Communication dans un congrès lirmm-01430814v1
Image document

3D Design For Test Architectures Based on IEEE P1687

Yassine Fkih , Pascal Vivet , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale
4th IEEE International Workshop on Testing Three-Dimensional Stacked Integrated Circuits (3D-TEST), Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00989717v1
Image document

A Bulk Built-in Sensor for Detection of Fault Attacks

Rodrigo Possamai Bastos , Frank Sill Torres , Jean Max Dutertre , Marie-Lise Flottes , Giorgio Di Natale
HOST: Hardware-Oriented Security and Trust, Jun 2013, Austin, TX, United States. pp.51-54, ⟨10.1109/HST.2013.6581565⟩
Communication dans un congrès lirmm-01430800v1

A BIST Method for TSVs Pre-Bond Test

Hakim Zimouche , Marie-Lise Flottes , Bruno Rouzeyre , Giorgio Di Natale
IDT'13: 8th IEEE International Design & Test Symposium, Dec 2013, Marrakesh, Morocco. pp.1-6, ⟨10.1109/IDT.2013.6727081⟩
Communication dans un congrès lirmm-00989727v1

Sensitivity tuning of a bulk built-in current sensor for optimal transient-fault detection

Jean-Max Dutertre , Rodrigo Possamai Bastos , Olivier Potin , Marie-Lise Flottes , Bruno Rouzeyre
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Sep 2013, Arcachon, France. pp.B3c-2 #68
Communication dans un congrès hal-00872705v1
Image document

Laser-Induced Fault Simulation

Feng Lu , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
EUROMICRO DSD/SEAA, Sep 2013, Santander, Spain. pp.609-614, ⟨10.1109/DSD.2013.72⟩
Communication dans un congrès lirmm-01430807v1

TSVs Pre-Bond Testing: a test scheme for capturing BIST responses

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Hakim Zimouche
3D-Test: Testing Three-Dimensional Stacked Integrated Circuits, Sep 2013, Anaheim, CA, United States
Communication dans un congrès lirmm-00989707v1
Image document

On-Chip Comparison for Testing Secure ICs

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.112-117
Communication dans un congrès lirmm-00795205v1
Image document

A New Scan Attack on RSA in Presence of Industrial Countermeasures

Jean da Rolt , Amitabh Das , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
COSADE: Constructive Side-Channel Analysis and Secure Design, May 2012, Darmstadt, Germany. pp.89-104, ⟨10.1007/978-3-642-29912-4_8⟩
Communication dans un congrès lirmm-00719986v1
Image document

Is Side-Channel Analysis really reliable for detecting Hardware Trojans?

Giorgio Di Natale , Sophie Dupuis , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. pp.238-242
Communication dans un congrès lirmm-00823477v1
Image document

A Scan-based Attack on Elliptic Curve Cryptosystems in presence of Industrial Design-for-Testability Structures

Jean da Rolt , Bruno Rouzeyre , Marie-Lise Flottes , Giorgio Di Natale , Amitabh Das
IEEE International Symposium on Defect and Fault Tolerance in VLSI & Nanotechnology Systems, United States. http://www.dfts.org/
Communication dans un congrès lirmm-00744472v1

Are Advanced DfT Structures Sufficient for Preventing Scan-Attacks?

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
VTS'12: 30th IEEE VLSI Test Symposium, Apr 2012, Maui, Hawai, United States. pp.246-251
Communication dans un congrès lirmm-00694536v1
Image document

Calibrating Bulk Built-in Current Sensors for Detecting Transient Faults

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Colloque GDR SoC-SiP, 2012, Lyon, France
Communication dans un congrès lirmm-00715126v1

Circuits intégrés en 3D

Rodolphe Giroudeau , Florent Hernandez , Michel Gendreau , Marie-Lise Flottes , Giorgio Di Natale
ROADEF: Recherche Opérationnelle et Aide à la Décision, Apr 2012, Angers, France
Communication dans un congrès lirmm-00805058v1

Novel transient-fault detection circuit featuring enhanced bulk built-in current sensor with low-power sleep-mode

Rodrigo Possamai Bastos , Frank Sill Torres , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ESREF: European Symposium on Reliability of Electron devices, Failure physics and analysis, Oct 2012, Cagliari, Italy
Communication dans un congrès hal-00867864v1
Image document

New Security Threats Against Chips Containing Scan Chain Structures

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
HOST'11: IEEE International Symposium on Hardware-Oriented Security and Trust, San Diego, CA, United States. pp.105-110
Communication dans un congrès lirmm-00599690v1

Power Consumption Traces Realignment to Improve Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Miroslav Valka , Denis Real
DDECS'11: 14th IEEE International Symposium on Design and Diagnostics of Electronic Circuits ans Systems, Germany. pp.201-206
Communication dans un congrès lirmm-00592005v1
Image document

A New Bulk Built-in Current Sensor-Based Strategy for Dealing with Long-Duration Transient Faults in Deep-Submicron Technologies

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DFT'2011: International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems, Oct 2011, Vancouver, Canada. pp.302-308, ⟨10.1109/DFT.2011.15⟩
Communication dans un congrès lirmm-00701789v1
Image document

Timing Issues for an Efficient Use of Concurrent Error Detection Codes

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW: Latin American Test Workshop, Mar 2011, Porto de Galinhas, Brazil. pp.1-6, ⟨10.1109/LATW.2011.5985933⟩
Communication dans un congrès lirmm-00627427v1
Image document

Timing Issues of Transient Faults in Concurrent Error Detection Schemes

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
GdR SoC-SiP'2011: Colloque national du Groupement de Recherche System-On-Chip et System-In-Package, Jun 2011, Lyon, France. http://www2.lirmm.fr/~w3mic/SOCSIP/
Communication dans un congrès lirmm-00701798v1
Image document

How to Sample Results of Concurrent Error Detection Schemes in Transient Fault Scenarios?

Rodrigo Possamai Bastos , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
RADECS: Radiation and Its Effects on Components and Systems, Sep 2011, Sevilla, Spain. pp.635-642, ⟨10.1109/RADECS.2011.6131361⟩
Communication dans un congrès lirmm-00701776v1

Scan Attacks and Countermeasures in Presence of Scan Response Compactors

Jean da Rolt , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ETS: European Test Symposium, May 2011, Trondheim, Norway. pp.19-24, ⟨10.1109/ETS.2011.30⟩
Communication dans un congrès lirmm-00647062v1
Image document

New side-channel attack against scan chains

Jean da Rolt , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
9th CryptArchi Workshop (2011), Jun 2011, Bochum, Germany. pp.2
Communication dans un congrès lirmm-00648575v1

Evaluation of Resistance to Differential Power Analysis: Execution Time Optimizations for Designers

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DELTA'10: Fifth IEEE International Symposium on Electronic Design, Test and Application, Jan 2010, Ho Chi Minh City, Vietnam. pp.256-261
Communication dans un congrès lirmm-00539993v1

Waveforms re-Alignment to Improve DPA Attacks

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre , Miroslav Valka
CryptArchi: Cryptographic Architectures, Jun 2010, Gif-sur-Yvette, France
Communication dans un congrès lirmm-00539994v1
Image document

Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ETS: European Test Symposium, May 2010, Prague, Czech Republic
Communication dans un congrès lirmm-00493247v1

Evaluation of Concurrent Error Detection Techniques on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS: International On-Line Testing Symposium, Jul 2010, Corfu, Greece. pp.223-228, ⟨10.1109/IOLTS.2010.5560196⟩
Communication dans un congrès lirmm-00539232v1

Ensuring High Testability without Degrading Security

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DDECS'10: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Vienna, Austria. pp.6
Communication dans un congrès lirmm-00480710v1
Image document

LIFTING: an Open-Source Logic Simulator

Alberto Bosio , Giorgio Di Natale
DATE 2009 - Design, Automation and Test in Europe Conference and Exhibition, Apr 2009, Nice, France
Communication dans un congrès lirmm-00407166v1

Execution Time Reduction of Differential Power Analysis Experiments

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW'09: 10th Latin-American Test Workshop, Mar 2009, Armaçao dos Buzios, Brazil, pp.1-5, ⟨10.1109/LATW.2009.4813819⟩
Communication dans un congrès lirmm-00367712v1

Tutorial on Design For Testability & Digital Security

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE 10th Latin American Test Workshop, 2009, Buzios, Brazil
Communication dans un congrès lirmm-00407161v1
Image document

Ensuring High Testability without Degrading Security

Marie-Lise Flottes , Giorgio Di Natale , Paolo Maistri , Bruno Rouzeyre , Régis Leveugle
ETS: European Test Symposium, May 2009, Seville, Spain
Communication dans un congrès lirmm-00407163v1

Stuck-at-Faults Test using Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LPonTR'08: Workshop on Low Power Design Impact on Test and Reliability, May 2008, Italy
Communication dans un congrès lirmm-00332529v1
Image document

On-Line Instruction-Checking in Pipelined Microprocessors

Stefano Di Carlo , Giorgio Di Natale , Mariani Riccardo
ATS: Asian Test Symposium, Nov 2008, Saporro, Japan. pp.377-382, ⟨10.1109/ATS.2008.47⟩
Communication dans un congrès lirmm-00363689v1

An Integrated Validation Environment for Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SAME'08: Sophia-Antipolis Forum on MicroElectronics 2008, Sep 2008, Sophia-Antipolis, France
Communication dans un congrès lirmm-00363796v1

A Reliable Architecture for the Advanced Encryption Standard

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
13th IEEE European Test Symposium, May 2008, Verbania, Italy. pp.13-18, ⟨10.1109/ETS.2008.26⟩
Communication dans un congrès lirmm-00285868v1

Low Cost Self-Test of Crypto-Devices

Giorgio Di Natale , Marion Doulcier , Marie-Lise Flottes , Bruno Rouzeyre
WDSN'08: 2nd Workshop on Dependable and Secure Nanocomputing, Jun 2008, Anchorage, Canada, United States. pp.41-46
Communication dans un congrès lirmm-00295108v1
Image document

March Test BDN: A new March Test for Dynamic Faults

Alberto Bosio , Giorgio Di Natale
AQTR'08: Automation, Quality and Testing, Robotics, May 2008, Cluj-Napoca, Romania, pp.085-089
Communication dans un congrès lirmm-00303528v1

Modularer Selbsttest und Optimierte Reparaturanalyse für Eingebettete Speicher

Philipp Öhler , Sybille Hellebrand , Alberto Bosio , Giorgio Di Natale
ZUE'08: Zuverlässigkeit und Entwurf, Germany. pp.049-056
Communication dans un congrès lirmm-00332558v1
Image document

Observability of Stuck-at-Faults with Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
LATW'08: IEEE Latin American Test Workshop, Feb 2008, Mexico. pp.N/A
Communication dans un congrès lirmm-00295498v1
Image document

An Integrated Validation Environment for Differential Power Analysis

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DELTA: Electronic Design, Test and Applications, Jan 2008, Hong Kong, China. pp.527-532, ⟨10.1109/DELTA.2008.61⟩
Communication dans un congrès lirmm-00407165v1

LIFTING: A Flexible Open-Source Fault Simulator

Alberto Bosio , Giorgio Di Natale
ATS 2008 - 17th IEEE Asian Test Symposium, Nov 2008, Saporro, Japan. pp.035-040, ⟨10.1109/ATS.2008.17⟩
Communication dans un congrès lirmm-00343610v1
Image document

A Reliable Architecture for Substitution Boxes in Integrated Cryptographic

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DCIS'08: Conference on Design of Circuits and Integrated Systems, Nov 2008, pp.27-32
Communication dans un congrès lirmm-00363783v1

LIFTING: an Open-Source Logic Simulator

Alberto Bosio , Giorgio Di Natale
SAME'08: Sophia-Antipolis Forum on MicroElectronics 2008, Sep 2008, Sophia-Antipolis, France
Communication dans un congrès lirmm-00363795v1

Test and Security

Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre , Marion Doulcier
CryptArchi: Cryptographic Architectures, Jun 2007, Montpellier, France
Communication dans un congrès lirmm-00163017v1
Image document

An On-Line Fault Detection Scheme for SBoxes in Secure Circuits

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IOLTS 2007 - 13th IEEE International On-Line Testing and Robust System Design Symposium, Jul 2007, Heraklion, Crete, Greece. pp.57-62, ⟨10.1109/IOLTS.2007.16⟩
Communication dans un congrès lirmm-00163244v1
Image document

A Novel Parity Bit Scheme for SBOX in AES Circuits

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
IEEE Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2007, Cracovie, Poland. pp.267-271, ⟨10.1109/DDECS.2007.4295295⟩
Communication dans un congrès lirmm-00141799v1

On-Line Self-Test of AES Hardware Implementations

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
DSN'07: Workshop on Dependable and Secure Nanocomputing, Jun 2007, Edinburgh, United Kingdom
Communication dans un congrès lirmm-00163405v1

SRAM-PUF: Platform for Acquisition of Sram-Based Pufs from Micro-Controllers

Sergio Vinagrero Gutierrez , Honorio Martin , Elena Ioana Vatajelu , Giorgio Di Natale
University Booth - IEEE Design Automation and Test Conference in Europe (DATE 2021), Feb 2021, Grenoble, France
Poster de conférence hal-03370033v1
Image document

Encryption Techniques for Test Infrastructures

Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
13e Colloque National Du GDR SoC², Jun 2019, Montpellier, France.
Poster de conférence lirmm-02306922v1
Image document

Scan chain encryption, a countermeasure against scan attacks

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
PHISIC: Practical Hardware Innovations in Security Implementation and Characterization, May 2018, Gardanne, France. , Workshop on Practical Hardware Innovations in Security Implementation and Characterization, 2018
Poster de conférence lirmm-01882565v2
Image document

Sécurité des moyens de test des SoC

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
Journée thématique des GDR SoC² et Sécurité Informatique : Sécurité des SoC complexes hétérogènes – de la TEE au matériel, Sep 2018, Paris, France. 2018
Poster de conférence lirmm-01882552v1
Image document

SECCS: SECure Context Saving for IoT Devices

Emanuele Valea , Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Sophie Dupuis
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence hal-02042659v1
Image document

Scan chain encryption in Test Standards

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SURREALIST: SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, May 2018, Bremen, Germany. , Workshop on SecURity, REliAbiLity, test, prIvacy, Safety and Trust of Future Devices, 2018
Poster de conférence lirmm-01882578v2
Image document

Stream cipher-based scan encryption in test standards

Mathieu da Silva , Emanuele Valea , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
12e Colloque National du GDR SoC/SiP, Jun 2018, Paris, France. 2018
Poster de conférence lirmm-01867283v1
Image document

Scan Chain Encryption for the Test, Diagnosis and Debug of Secure Circuits

Mathieu da Silva , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
SETS: South European Test Seminar, Mar 2017, Alpe d'Huez, France. , 2017
Poster de conférence lirmm-01892667v1
Image document

Sécurisation des structures de test : étude comparative

Mathieu da Silva , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
11e Colloque National du GDR SoC/SiP, Jun 2017, Bordeaux, France. 2017
Poster de conférence lirmm-01867279v1

Detection and Prevention of Hardware Trojan through Logic Testing

Papa-Sidy Ba , Sophie Dupuis , Marie-Lise Flottes , Giorgio Di Natale , Bruno Rouzeyre
TRUDEVICE, Nov 2016, Barcelona, Spain. , 4th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices, Manufacturing test of secure devices / Reverse engineering countermeasures / Other topics, pp.#33, 2016, Posters IV
Poster de conférence lirmm-01430007v1
Image document

tLIFTING : A Multi-level Delay-annotated Fault Simulator for Digital Circuits

Giorgio Di Natale , Marie-Lise Flottes , Feng Lu , Bruno Rouzeyre
DCIS 2012 - 27th Conference on Design of Circuits and Integrated Systems, Nov 2012, Avignon, France. , 2012
Poster de conférence lirmm-00799892v1

Exact wafer matching process wafer to wafer inegration

Rodolphe Giroudeau , Giorgio Di Natale , Marie-Lise Flottes , Florent Hernandez
3D Integration Applications, 2012, Grenoble, France. Workshop on 3D Integration Applications, Technology, Architecture, Design, Automation, and Test, 2012
Poster de conférence lirmm-00805059v1

Parallel Test of Identical Cores Using Test Elevators in 3D Circuits

Alberto Bosio , Giorgio Di Natale
3D-Test: Testing Three-Dimensional Stacked Integrated Circuits, Nov 2010, Austin, TX, United States. IEEE, 1st International Workshop on Testing Three-Dimensional Stacked Integrated Circuits, 2010
Poster de conférence lirmm-00537857v1
Image document

A Modular Memory BIST for Optimized Memory Repair

Philipp Öhler , Alberto Bosio , Giorgio Di Natale , Sybille Hellebrand
IEEE Computer Society. IOLTS: International On-Line Testing Symposium, Jul 2008, Rhodes, Greece. 14th International On-Line Testing and Robust System Design Symposium, pp.171-172, 2008, ⟨10.1109/IOLTS.2008.30⟩
Poster de conférence lirmm-00363724v1

A Dependable Parallel Architecture for SBoxes

Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
ReCoSoc'07: International Workshop on Reconfigurable Communication Centric System-On-Chips, Jun 2007, Montpellier, France. pp.CD-ROM, 2007
Poster de conférence lirmm-00163414v1
Image document

Analysis of System-Failure Rate Caused by Soft-Errors using a UML-Based Systematic Methodology in an SoC

Mohammad Hosseinabady , Mohammad Hossein Neishaburi , Zainalabedin Navabi , Alfredo Benso , Stefano Di Carlo
IOLTS: International On-Line Testing Symposium, Jul 2007, Heraklion, Crete, Greece. 13th IEEE International Symposium on On-Line Testing and Robust System Design, pp.205-206, 2007, ⟨10.1109/IOLTS.2007.17⟩
Poster de conférence lirmm-00163343v1

Design techniques to improve the resilience of computing systems: software layer

Alberto Bosio , Stefano Di Carlo , Giorgio Di Natale , M. Sonza Reorda , J.E. Rodriguez Condia
Cross-Layer Reliability of Computing Systems, iet - the institution of engineering and technology, pp.95-112, 2020, 978-1785617973. ⟨10.1049/PBCS057E_ch4⟩
Chapitre d'ouvrage hal-02986855v1
Image document

Componenti e Sistemi Hardware

Giorgio Di Natale , Paolo Prinetto
Cyber Security National Lab Il Futuro della Cyber Security in Italia, 2015
Chapitre d'ouvrage lirmm-01276291v1

Chapitre 6 : On Countermeasures Against Fault Attacks on the Advanced Encryption Standard

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Marc Joye and Michael Tunstall. Fault Analysis in Cryptography, Springer, pp.89-109, 2012, Information Security and Cryptography, 978-3-642-29656-0 (-7 for eBook)
Chapitre d'ouvrage lirmm-00744671v1
Image document

Chapter 9: Fault Detection in Crypto-devices

Kaouthar Bousselam , Giorgio Di Natale , Marie-Lise Flottes , Bruno Rouzeyre
Wei Zhang. Fault Detection, InTech, pp.177-194, 2010, 978-953-307-037-7. ⟨10.5772/213⟩
Chapitre d'ouvrage lirmm-00437252v1
Image document

Conception et test des circuits et systèmes numériques à haute fiabilité et sécurité

Giorgio Di Natale
Micro and nanotechnologies/Microelectronics. Université de Montpellier II, 2014
HDR tel-01276281v1