Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

255 résultats
Image document

Pulsed high-density plasmas for advanced dry etching processes

S. Banna , A. Ankargul , G. Cunge , Maxime Darnon , E. Pargon , et al.
Journal of Vacuum Science & Technology A, 2012, 30 (4), pp.040801. ⟨10.1116/1.4716176⟩
Article dans une revue hal-00808849v1

Degree of polarization of the photo-luminescence and cathodo-luminescence for plasma etched InP and GaAs under control of the built-in mechanical stress in SiNx mask layer

Solène Gérard , Merwan Mokhtari , Jean-Pierre Landesman , Christophe Levallois , Marc Fouchier , et al.
18th International Conference on Defects-Recognition, Imaging and Physics in Semiconductors (DRIP XVIII), Sep 2019, Berlin, Germany
Communication dans un congrès hal-02291428v1

Sidewall roughness characterization of a spacer patterning process targeting 10 nm half pitch

E. Dupuy , M. Fouchier , E. Pargon , H. Grampeix , P. Pimenta-Barros , et al.
61st International AVS Symposium & Topical Conferences, Nov 2014, Baltimore, United States
Communication dans un congrès hal-01798204v1

New trends in Plasma Technologies

O. Joubert , G. Cunge , E. Pargon , L. Vallier , E. Despiau-Pujo , et al.
43rd Conference on Micro and Nano Engineering (MNE), Sep 2017, Braga, Portugal
Communication dans un congrès hal-01891286v1

Effect of etch pattern transfer on local overlay (OVL) margin in 28nm gate integration.

Gottlieb Oehrlein , Qinghuang Lin , Ying Zhang , Onintza Ros , Pascal Gouraud , et al.
SPIE Advanced Lithography, 2014, San Jose, United States. ⟨10.1117/12.2042080⟩
Communication dans un congrès hal-01797981v1

Ultra-high selective etching in remote plasmas: application to smart etch processes

G. Cunge. , C Petit−etienne. , L Vallier. , J Dubois. , R Soriano. , et al.
PESM 2017 (Plasma Etch and Strip in Microtechnology), 2017, Louvain, Belgium
Communication dans un congrès hal-01891297v1
Image document

Mapping of mechanical strain induced by thin and narrow dielectric stripes on InP surfaces

Jean-Pierre Landesman , Daniel T Cassidy , Marc Fouchier , Christophe Levallois , Erwine Pargon , et al.
Optics Letters, 2018, 43 (15), pp.3505. ⟨10.1364/OL.43.003505⟩
Article dans une revue hal-01861356v1
Image document

Preferential crystal orientation etching of GaN nanopillars in Cl2 plasma

Lucas Jaloustre , Valentin Ackermann , Saron Sales de Mello , Sébastien Labau , Camille Petit-Etienne , et al.
Materials Science in Semiconductor Processing, 2023, 165, pp.107654. ⟨10.1016/j.mssp.2023.107654⟩
Article dans une revue hal-04123125v1

Anisotropic and Low Damage III-V/Ge Heterostructures via Etching for Multijunction Photovoltaic Cell Fabrication

M. De Lafontaine , M. Darnon , E. Pargon , A. Jaouad , M. Volatier , et al.
Plasma Etch and Strip in Microelectronics (PESM), 10th International Workshop, Oct 2017, leuven, Belgium
Communication dans un congrès hal-01929191v1

Compact soliton generation based on the butt-coupling between a Si3N4 microresonator and a DFB laser

Sylvain Boust , Houssein El Dirani , Laurène Youssef , Yannick Robert , Larrue Alexandre , et al.
OSA Advanced Photonics Congress 2020 (APC 2020), Jul 2020, Washington, United States. pp.JTu2D.6, ⟨10.1364/IPRSN.2020.JTu2D.6⟩
Communication dans un congrès hal-03128968v1
Image document

Compact optical frequency comb source based on a DFB butt-coupled to a silicon nitride microring

Sylvain Boust , Houssein El Dirani , François Duport , Laurène Youssef , Yannick Robert , et al.
2019 IEEE International Topical Meeting on Microwave Photonics , 2019, 2019 International Topical Meeting on Microwave Photonics (MWP), ⟨10.1109/MWP.2019.8892102⟩
Article dans une revue hal-02324813v1
Image document

Real time scatterometry: a new metrology for in situ microelectronics process control

Mohamed El Kodadi , Maxime Besacier , E. Pargon , Patrick Schiavone
PESM2010 3rd workshop on Plasma Etch and Strip in Microelectronics, Mar 2010, grenoble, France
Communication dans un congrès hal-00462257v1

Gate patterning for ultimate CMOS devices

L. Vallier , J. Foucher , E. Pargon , X. Detter , G. Cunge , et al.
DPS, 24nd Symposium on Dry Process, 2002, Tokyo, Japan
Communication dans un congrès hal-00481890v1

XPS study on STI processes

C. Maurice , B. Pelissier , G. Cunge , O. Joubert , E. Pargon
AVS 2004, 2004, United States
Communication dans un congrès hal-00390556v1

Characterisation of ultraviolet nanoimprint dedicated resists

P. Voisin , M. Zelsmann , R. Cluzel , E. Pargon , C. Gourgon , et al.
Microelectronic Engineering, 2007, 84, pp.967-972
Article dans une revue hal-00397066v1

Chemical analysis of deposits formed on the reactor walls during silicon and metal gate etching processes

A. Le Gouil , E. Pargon , G. Cunge , O. Joubert , B. Pelissier
Journal of Vacuum Science and Technology, 2006, B 24,, pp.2191-2197
Article dans une revue hal-00397056v1

Characterization of resist-trimming processes by quasi in-situ x-ray photoelectron spectroscopy

E. Pargon , O. Joubert , S. Xu , T. Lill
Journal of Vacuum Science and Technology, 2004, pp.B 22, 1869
Article dans une revue hal-00384173v1

Impact of HBr and Ar cure plasma treatments on 193nm photoresists

A. Bazin , E. Pargon , X. Mellhaoui , D. Perret , B. Mortini , et al.
SPIE, advanced lithography, 2008, san jose, United States
Communication dans un congrès hal-00400001v1
Image document

Programmable frequency-bin quantum states in a nano-engineered silicon device

Marco Clementi , Federico Andrea Sabattoli , Houssein El Dirani , Laurene Youssef , Massimo Borghi , et al.
Nature Communications, 2023, 14 (1), pp.176. ⟨10.1038/s41467-022-35773-6⟩
Article dans une revue hal-03997028v1

Towards new plasma technologies for 22nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David , et al.
SPIE-AL, 2012, San Jose, CA, United States
Communication dans un congrès hal-00808670v1

Improving Etch Processes by Using Pulsed Plasmas

Maxime Darnon , M. Haass , P. Bodart , G. Cunge , C. Petit-Etienne , et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647630v1

Benefits of plasma treatments on critical dimension control and line width roughness transfer during gate patterning

L. Azarnouche , E. Pargon , K. Menguelti , M. Fouchier , O. Joubert , et al.
Journal of Vacuum Science and Technology, 2013, B31, pp.012205. ⟨10.1116/1.4773063⟩
Article dans une revue hal-00904415v1

Chemical topography analyses of photoresist patterns exposed to HBr/O2 and Cl2/O2 trimming plasma processes

E. Pargon , O. Joubert , L. Vallier , S. Xu
AVS, 50th International AVS Symposium & Topical Conferences, 2003, Baltimore, United States
Communication dans un congrès hal-00488315v1

Challenges in plasma etching for Nanoelectronics applications

O. Joubert , M. Helot , M. Kogelschatz , A. Le Gouil , N. Possémé , et al.
6émes Rencontres du CREMSI, 2003, Fuveau, France
Communication dans un congrès hal-00481917v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

M. Haass , Maxime Darnon , E. Pargon , G. Cunge , S. Banna , et al.
63rd Gaseous Electronic Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-00625370v1

Reduction of Plasma Induced Silicon-Recess During Gate Over-Etch Using Synchronous Pulsed Plasmas

Maxime Darnon , C. Petit-Etienne , F. Boulard , E. Pargon , L. Vallier , et al.
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625366v1

Patterning of Magnetic Tunneling Junction in reactive plasmas

J. Pereira , K. Menguelti , O. Luere , E. Pargon , O. Joubert
Plasma Etch and Strip in Microelectronics (PESM), 4th International Workshop, May 2011, Mechelen, Belgium
Communication dans un congrès hal-00643924v1

Impact of plasma and annealing treatments on 193nm photoresist Linewidth roughness and profile

L. Azarnouche , E. Pargon , K. Menguelti , M. Fouchier , R. Tiron , et al.
56th International AVS Symposium & Topical Conferences, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00644011v1

Development of plasma etching processes for high aspect ratio TSV with SF6/O2/HBr chemistry

S. Avertin , T. Chevolleau , E. Pargon , P. Gouraud , C. Verove , et al.
Plasma Etch and Strip in Microelectronics (PESM), 3rd International Workshop, 2010, Grenoble, France
Communication dans un congrès hal-00643948v1
Image document

Influence of the carrier wafer during GaN etching in Cl2 plasma

Thibaut Meyer , Camille Petit-Etienne , Erwine Pargon
Journal of Vacuum Science & Technology A, 2022, ⟨10.1116/6.0001478⟩
Article dans une revue hal-03618882v1