Accéder directement au contenu

Emmanuel Dubois

305
Documents
Identifiants chercheurs

Présentation

***Emmanuel Dubois*** graduated as an engineer from the Institut Supérieur d’Electronique et du Numérique (ISEN), Lille, France, in 1985 and received the Ph.D. degree from the University of Lille in 1990. He was awarded the IBM Prize for High-Performance Computing in 1990 for his work on parallelizing the IMPACT3 in-house simulation code for transport in semiconductors. In 1992, he was a visiting scientist at the IBM T.J. Watson Research Centre, Yorktown Heights, working on characterization and simulation of submicron SOI-MOSFETs. In 1993, he joined the Institut d’Electronique de Microélectronique et de Nanotechnologie (IEMN UMR 8520) where he is currently Director of Research at the Centre National de la Recherche Scientifique, CNRS. He was coordinator of three European Projects focused on near-field-based lithography and Schottky source-drain MOS technologies (FP4-IST-QUEST, FP5-IST-SODAMOS, FP6-IST-METAMOS) and was involved as workpackage/task leader in a series of European projects devoted to ultimate MOS architectures (FP5 SASEM, FP6 SiNANO NoE, FP7 NANOSIL NoE, FP6 NANOCMOS IP, FP6 PULLNANO IP). From 2005 to 2016, he was on the Technical Program Committee of ESSDERC and was TPC member of the VSLI Symposium on Technology (2018-2019-2020). Until 2017, he participated to the nanoelectronics committee of the French Observatory on Micro and Nano Technologies (OMNT). He is currently heading the STMicroelectronics-IEMN Joint Laboratory and coordinates the PIA-EQUIPEX project LEAF on advanced laser-based microfabrication for System Moore integration. His research interests cover advanced source/drain CMOS technology, RF and mmW CMOS/BiCMOS, non-conventional thermal energy harvesting, laser micromachining and functional packaging of compact RF/mmW/photonics subsystems. **Thèmes de recherche** **1991-2001: Simulation et modélisation de dispositifs semiconducteurs** - Développement de code de simulation du transport dans les semiconducteurs (dérive-diffusion, Monte Carlo) - Optimisation de technologie MOS, bipolaire BiCMOS **1997-2001: Nanotechnologies basées sur la microscopie champ proche** - Modélisation de l’oxydation anodique locale assistée par STM/AFM - Application à la nanolithographie en champ proche **2000-2016: Architecture, technologie et fabrication de nano-transistors** - Architectures Source/Drain Schottky en technologie MOS avancée : siliciures à faibles barrières, modélisation, intégration de composants DC et RF - Cellule mémoire à quelques électrons : auto-alignement du point flottant de stockage de charge - Architecture MOS-FinFET : procédé technologique spacers-first contournant les limitations de gravure 3D - Transistors MOS sur film mince cristallin obtenus par croissance catalytique VLS - Transistors à nanofils pour des applications de capteurs chimiques ultra-sensibles **2010 → : Principes et technologie de conversion thermoélectrique non conventionnels** - Structuration phononique pour la réduction de conductivité thermique du silicium - Micro-convertisseur thermoélectrique en membrane planaire de silicium **2010 → : Packaging fonctionnel des systèmes intégrés** - Microstructuration laser en régime femtoseconde (projet EQUIPEX LEAF) - Electronique CMOS RF/mmW mécaniquement flexible hautes performances - Performances RF augmentées par micro-usinage localisée du substrat - Interposeur électro-optique pour communication haut-débit 400Gb/s - Packaging de fonctions mmW : guide d’onde et source de bruit amplifiée en bande G - Packaging de sondes actives intégrées en bande millimétrique - Microcavités pour composants RF-CMOS sur SOI ultra-rapides pour applications 5G et au-delà

Publications

Image document

A G-band Packaged Amplified Noise Source using SiGe BiCMOS 55 nm Technology

Victor Fiorese , Joao Carlos Azevedo Goncalves , Simon Bouvot , Sylvie Lepilliet , Daniel Gloria
IEEE Transactions on Microwave Theory and Techniques, 2024, 72 (3), pp.1775 - 1789. ⟨10.1109/TMTT.2023.3311476⟩
Article dans une revue hal-04192337v1
Image document

Design and fabrication of nanometer measurement platform for better understanding of silicon mechanical properties

Maciej Haras , Jean-François Robillard , Thomas Skotnicki , Emmanuel Dubois
Journal of Applied Physics, 2023, 134 (2), pp.024305. ⟨10.1063/5.0152192⟩
Article dans une revue hal-04165436v1
Image document

Analysis of Airgaps for Off State Capacitance Reduction in SOI CMOS RF Switches

Daniel Gheysens , Alain Fleury , Stephane Monfray , Frederic Gianesello , Philippe Cathelin
IEEE Transactions on Electron Devices, 2023, 70 (11), pp.5814 - 5817. ⟨10.1109/TED.2023.3311415⟩
Article dans une revue hal-04192324v1
Image document

Substrate-Induced Dissipative and Non-Linear Effects in RF Switches: Probing Ultimate Performance Based on Laser-Machined Membrane Suspension

Arun Bhaskar , Justine Philippe , Etienne Okada , Flavie Braud , J.F. Robillard
Electronics, 2022, 11 (15), pp.2333. ⟨10.3390/electronics11152333⟩
Article dans une revue hal-03739747v1
Image document

A CMOS Compatible Thermoelectric Device made of Crystalline Silicon Membranes with Nanopores

Thierno-Moussa Bah , Stanislav Didenko , Di Zhou , Tianqi Zhu , Hafsa Ikzibane
Nanotechnology, 2022, 33 (50), pp.505403. ⟨10.1088/1361-6528/ac8d12⟩
Article dans une revue hal-03766399v1
Image document

Heat dissipation in partially perforated phononic nano-membranes with periodicities below 100 nm

Antonin Massoud , Valeria Lacatena , Maciej Haras , Emmanuel Dubois , Stéphane Monfray
APL Materials, 2022, 10 (5), pp.051113. ⟨10.1063/5.0091539⟩
Article dans une revue hal-03682509v1
Image document

The good, the bad and the ugly polishing: Effect of abrasive size on standardless EDS analysis of Portland cement clinker’s calcium silicates

Vincent Thiéry , Emmanuel Dubois , Séverine Bellayer
Micron, 2022, 158, pp.103266. ⟨10.1016/j.micron.2022.103266⟩
Article dans une revue hal-03662917v1
Image document

Large-area femtosecond laser milling of silicon employing trench analysis

Arun Bhaskar , Justine Philippe , Flavie Braud , Etienne Okada , Vanessa Avramovic
Optics and Laser Technology, 2021, 138, pp.106866. ⟨10.1016/j.optlastec.2020.106866⟩
Article dans une revue hal-03091197v1
Image document

Substrate engineering of inductors on SOI for improvement of Q-factor and application in LNA

Arun Bhaskar , Justine Philippe , Vanessa Avramovic , Flavie Braud , J.F. Robillard
IEEE Journal of the Electron Devices Society, 2020, 8, pp.959-969. ⟨10.1109/JEDS.2020.3019884⟩
Article dans une revue hal-03091190v1
Image document

Thermal analysis of ultimately-thinned-and-transfer-bonded CMOS on mechanically flexible foils

Justine Philippe , Arun Bhaskar , Etienne Okada , Flavie Braud , J.F. Robillard
IEEE Journal of the Electron Devices Society, 2019, 7, pp.973-978. ⟨10.1109/JEDS.2019.2939884⟩
Article dans une revue hal-02317229v1
Image document

Broadband terahertz light-matter interaction enhancement for precise spectroscopy of thin films and micro-samples

Romain Peretti , Flavie Braud , Emilien Peytavit , Emmanuel Dubois , Jean-Francois Lampin
Photonics, 2018, 5 (2), pp.11. ⟨10.3390/photonics5020011⟩
Article dans une revue hal-02317238v1

Ultra-thin dielectric insertions for contact resistivity lowering in advanced CMOS: Promises and challenges

Julien Borrel , Louis Hutin , Donato Kava , Rémy Gassilloud , Nicolas Bernier
Japanese Journal of Applied Physics, 2017, 56 (4), 04CB02, 7 p. ⟨10.7567/JJAP.56.04CB02⟩
Article dans une revue hal-03325004v1
Image document

Cost effective laser structuration of optical waveguides on thin glass interposer

Jean-Marc Boucaud , Folly-Eli Ayi-Yovo , Quentin Hivin , Matthieu Berthomé , Cédric Durand
Journal of Lightwave Technology, 2017, 35 (20), pp.4445-4450. ⟨10.1109/JLT.2017.2732461⟩
Article dans une revue hal-01961118v1
Image document

Oxidation-assisted graphene heteroepitaxy on copper foil

Nicolas Reckinger , Xiaohui Tang , Frederic Joucken , Luc Lajaunie , Raul Arenal
Nanoscale, 2016, 8 (44), pp.18751-18759. ⟨10.1039/c6nr02936a⟩
Article dans une revue hal-03325005v1
Image document

Fabrication of thin-film silicon membranes with phononic crystals for thermal conductivity measurements

Maciej Haras , Valeria Lacatena , Thierno-Moussa Bah , Stanislav Didenko , J.F. Robillard
IEEE Electron Device Letters, 2016, 37 (10), pp.1358-1361. ⟨10.1109/LED.2016.2600590⟩
Article dans une revue hal-03276234v1
Image document

Modeling of Fermi-level pinning alleviation with MIS contacts: n and pMOSFETs cointegration considerations-Part I

Julien Borrel , Louis Hutin , Olivier Rozeau , Marie-Anne Jaud , Sebastien Martinie
IEEE Transactions on Electron Devices, 2016, 63 (9), pp.3413-3418. ⟨10.1109/TED.2016.2590836⟩
Article dans une revue hal-03325007v1

Modeling of Fermi-level pinning alleviation with MIS contacts: n and pMOSFETs cointegration considerations-Part II

Julien Borrel , Louis Hutin , Olivier Rozeau , Marie-Anne Jaud , Sebastien Martinie
IEEE Transactions on Electron Devices, 2016, 63 (9), pp.3419-3423. ⟨10.1109/TED.2016.2590826⟩
Article dans une revue hal-03325006v1
Image document

Physical study by surface characterizations of sarin sensor on the basis of chemically functionalized silicon nanoribbon field effect transistor

K. Smaali , David Guérin , V. Passi , L. Ordronneau , A. Carella
Journal of Physical Chemistry C, 2016, 120 (20), pp.11180-11191. ⟨10.1021/acs.jpcc.6b00336⟩
Article dans une revue hal-03325001v1
Image document

Synthesis and characterization of low work function alkali oxide thin films for unconventional thermionic energy converters

Valentina Giorgis , François Morini , Tianqi Zhu , J.F. Robillard , X. Wallart
Journal of Applied Physics, 2016, 120 (20), pp.205108. ⟨10.1063/1.4968532⟩
Article dans une revue hal-03276235v1
Image document

Thermoelectric energy conversion: How good can silicon be?

Maciej Haras , Valeria Lacatena , François Morini , J.F. Robillard , Stephane Monfray
Materials Letters, 2015, 157, pp.193-196. ⟨10.1016/j.matlet.2015.05.012⟩
Article dans une revue hal-03325003v1
Image document

Toward quantitative modeling of silicon phononic thermocrystals

V. Lacatena , M. Haras , J.F. Robillard , S. Monfray , T. Skotnicki
Applied Physics Letters, 2015, 106 (11), 114104, 4 p. ⟨10.1063/1.4915619⟩
Article dans une revue hal-03324999v1

Phononic engineering of silicon using "dots on the fly" e-beam lithography and plasma etching

Valeria Lacatena , Maciej Haras , J.F. Robillard , Stéphane Monfray , Thomas Skotnicki
Microelectronic Engineering, 2014, 121, pp.131-134. ⟨10.1016/j.mee.2014.04.034⟩
Article dans une revue hal-00994777v1
Image document

Unconventional thin-film thermoelectric converters : structure, simulation, and comparative study

Maciej Haras , Valeria Lacatena , Stéphane Monfray , J.F. Robillard , Thomas Skotnicki
Journal of Electronic Materials, 2014, 43, pp.2109-2114. ⟨10.1007/s11664-014-2982-z⟩
Article dans une revue hal-00994781v1

Extra-low parasitic gate-to-contacts capacitance architecture for sub-14 nm transistor nodes

Heimanu Niebojewski , C. Le Royer , Y. Morand , O. Rozeau , M.A. Jaud
Solid-State Electronics, 2014, 97, pp.45-51. ⟨10.1016/j.sse.2014.04.028⟩
Article dans une revue hal-01000014v1
Image document

Low work function thin film growth for high efficiency thermionic energy converter : coupled Kelvin probe and photoemission study of potassium oxide

François Morini , Emmanuel Dubois , J.F. Robillard , Stéphane Monfray , Thomas Skotnicki
physica status solidi (a), 2014, 211 (6), pp.1334-1337. ⟨10.1002/pssa.201300136⟩
Article dans une revue hal-01005619v1

Design guidelines for releasing silicon nanowire arrays by liquid and vapor phase hydrofluoric acid

V. Passi , Emmanuel Dubois , Aurélie Lecestre , A. Sanchez-Linde , B. Du Bois
Microelectronic Engineering, 2013, 103, pp.57-65. ⟨10.1016/j.mee.2012.09.002⟩
Article dans une revue hal-00795975v1
Image document

Radio-frequency and low noise characteristics of SOI technology on plastic for flexible electronics

A. Lecavelier Des Etangs-Levallois , Marie Lesecq , Francois Danneville , Y. Tagro , Sylvie Lepilliet
Solid-State Electronics, 2013, 90, pp.73-78. ⟨10.1016/j.sse.2013.02.049⟩
Article dans une revue hal-00914203v1
Image document

A converging route towards very high frequency, mechanically flexible, and performance stable integrated electronics

A. Lecavelier Des Etangs-Levallois , Z. K. Chen , Marie Lesecq , Sylvie Lepilliet , Y. Tagro
Journal of Applied Physics, 2013, 113 (15), pp.153701. ⟨10.1063/1.4801803⟩
Article dans une revue hal-00819466v1

Comparative study of erbium disilicide thin films grown in situ under ultrahigh vacuum or ex situ with a capping layer

N. Reckinger , C.A. Dutu , Xing Tang , Emmanuel Dubois , Dmitri Yarekha
Thin Solid Films, 2012, 520, pp.4501-4505. ⟨10.1016/j.tsf.2012.02.076⟩
Article dans une revue hal-00787381v1
Image document

Anisotropic Vapor HF etching of silicon dioxide for Si microstructure release

Vikram Passi , Ulf Sodervall , Bengt Nilsson , Goran Petersson , Mats Hagberg
Microelectronic Engineering, 2012, 95, pp.83-89. ⟨10.1016/j.mee.2012.01.005⟩
Article dans une revue hal-00643499v1

Ta/TiN midgap full-metal single gate fabrication using combined chlorine-based plasma and highly selective chemical metal etching for decananometer CMOS technology

Z.K. Chen , Emmanuel Dubois , F. Ravaux , Francois Danneville
Microelectronic Engineering, 2012, 97, pp.280-284. ⟨10.1016/j.mee.2012.04.035⟩
Article dans une revue hal-00790412v1

Schottky barrier height reduction using strained silicon-on-insulator and dopant segregation

F. Ravaux , Emmanuel Dubois , Z.K. Chen
Microelectronic Engineering, 2012, 98, pp.391-394. ⟨10.1016/j.mee.2012.05.045⟩
Article dans une revue hal-00790413v1

Carrier injection at silicide/silicon interfaces in nanowire based-nanocontacts

X.-L. Han , Guilhem Larrieu , Emmanuel Dubois , Fuccio Cristiano
Surface Science : A Journal Devoted to the Physics and Chemistry of Interfaces, 2012, 606 (9-10), pp.836 - 839. ⟨10.1016/j.susc.2012.01.021⟩
Article dans une revue hal-01921880v1

Low-frequency noise in Schottky-barrier-based nanoscale field-effect transistors

N. Clement , G. Larrieu , Emmanuel Dubois
IEEE Transactions on Electron Devices, 2012, 59, pp.180-187. ⟨10.1109/TED.2011.2169676⟩
Article dans une revue hal-00787365v1

New chemically functionalized nanomaterials for electrical nerve agents sensors

J.P. Simonato , S. Clavaguera , A. Carella , M. Delalande , N. Raoul
Journal of Physics: Conference Series, 2011, 307, pp.012008-1-5. ⟨10.1088/1742-6596/307/1/012008⟩
Article dans une revue hal-00795903v1
Image document

Very low effective Schottky barrier height for erbium disilicide contacts on n-Si through arsenic segregation

N. Reckinger , C. Poleunis , Emmanuel Dubois , C.A. Dutu , X.H. Tang
Applied Physics Letters, 2011, 99 (1), pp.012110. ⟨10.1063/1.3608159⟩
Article dans une revue hal-00639859v1
Image document

Low temperature tunneling current enhancement in silicide/Si Schottky contacts with nanoscale barrier width

N. Reckinger , Xing Tang , Emmanuel Dubois , G. Larrieu , D. Flandre
Applied Physics Letters, 2011, 98 (11), pp.1121021. ⟨10.1063/1.3567546⟩
Article dans une revue hal-00579075v1

TEM studies of PtSi low Schottky-barrier contacts for source/drain in MOS transistors

A. Laszcz , J. Ratajczak , A. Czerwinski , J. Katcki , N. Breil
Central European Journal of Physics, 2011, 9, pp.423-427. ⟨10.2478/s11534-010-0135-4⟩
Article dans une revue hal-00574499v1
Image document

Energy-band engineering for improved charge retention in fully self-aligned double floating-gate single-electron memories

Xiaohui Tang , Christophe Krzeminski , Aurelien Lecavelier Des Etangs-Levallois , Zhenkun Chen , Emmanuel Dubois
Nano Letters, 2011, 11, pp.4520-4526. ⟨10.1021/nl202434k⟩
Article dans une revue hal-00640212v1

150-GHz RF SOI-CMOS technology in ultrathin regime on organic substrate

A. Lecavelier Des Etangs-Levallois , Emmanuel Dubois , Marie Lesecq , Francois Danneville , L. Poulain
IEEE Electron Device Letters, 2011, 32, pp.1510-1512. ⟨10.1109/LED.2011.2166241⟩
Article dans une revue hal-00639864v1

CMOS integration using low thermal budget dopant-segregated metallic S/D junctions on thin-body SOI

G. Larrieu , Emmanuel Dubois , D. Ducatteau
ECS Transactions, 2011, 41, pp.275-282. ⟨10.1149/1.3633307⟩
Article dans une revue hal-00795902v1

CMOS inverter based on Schottky source-drain MOS technology with low-temperature dopant segregation

G. Larrieu , Emmanuel Dubois
IEEE Electron Device Letters, 2011, 32, pp.728-730. ⟨10.1109/LED.2011.2131111⟩
Article dans une revue hal-00603004v1
Image document

Erbium silicide growth in the presence of residual oxygen

N. Reckinger , Xing Tang , S. Godey , Emmanuel Dubois , A. Laszcz
Journal of The Electrochemical Society, 2011, 158, pp.H715-H723. ⟨10.1149/1.3585777⟩
Article dans une revue hal-00597075v1
Image document

High Gain and Fast Detection of Warfare Agents Using Back-Gated Silicon-Nanowired MOSFETs

Vikram Passi , Florent Ravaux , Emmanuel Dubois , Simon Clavaguera , Alexandre Carella
IEEE Electron Device Letters, 2011, 32, pp.1-3. ⟨10.1109/LED.2011.2146750⟩
Article dans une revue cea-01344105v1

Functionalization of silicon nanowires for specific sensing

V. Passi , Emmanuel Dubois , C. Celle , S. Clavaguera , J.P. Simonato
ECS Transactions, 2011, 35, pp.313-318. ⟨10.1149/1.3570811⟩
Article dans une revue hal-00591351v1

Realization of ultra dense arrays of vertical silicon NWs with defect free surface and perfect anisotropy using a top-down approach

X.L. Han , G. Larrieu , Pier-Francesco Fazzini , Emmanuel Dubois
Microelectronic Engineering, 2011, 88, pp.2622-2624. ⟨10.1016/j.mee.2010.12.102⟩
Article dans une revue hal-00795901v1

Characterization of ytterbium silicide formed in ultra high vacuum

A. Laszcz , J. Ratajczak , A. Czerwinski , J. Katcki , V. Srot
Journal of Physics: Conference Series, 2010, 209, pp.012056-1-4. ⟨10.1088/1742-6596/209/1/012056⟩
Article dans une revue hal-00549559v1

Realization of vertical silicon nanowire networks with an ultra high density using a top-down approach

X.L. Han , G. Larrieu , Emmanuel Dubois
Journal of Nanoscience and Nanotechnology, 2010, 10, pp.7423-7427. ⟨10.1166/jnn.2010.2841⟩
Article dans une revue hal-00549625v1

Confined VLS growth and structural characterization of silicon nanoribbons

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , T. Skotnicki , P. Coronel
Microelectronic Engineering, 2010, 87, pp.1522-1526. ⟨10.1016/j.mee.2009.11.053⟩
Article dans une revue hal-00549560v1

Transmission electron microscopy study of erbium silicide formation from Ti/Er stack for Schottky contact applications

J. Ratajczak , A. Laszcz , A. Czerwinski , J. Katcki , F. Phillipp
Journal of Microscopy, 2010, 237, pp.379-383. ⟨10.1111/j.1365-2818.2009.03264.x⟩
Article dans une revue hal-00549623v1

UHV fabrication of the ytterbium silicide as potential low schottky barrier S/D contact material for N-type MOSFET

Dmitri Yarekha , G. Larrieu , N. Breil , Emmanuel Dubois , S. Godey
ECS Transactions, 2009, 19, pp.339-344. ⟨10.1149/1.3118961⟩
Article dans une revue hal-00471999v1

Arsenic-segregated rare earth silicide junctions : reduction of Schottky barrier and integration in metallic n-MOSFETs on SOI

G. Larrieu , Dmitri Yarekha , Emmanuel Dubois , N. Breil , O. Fainot
IEEE Electron Device Letters, 2009, 30, pp.1266-1268. ⟨10.1109/LED.2009.2033085⟩
Article dans une revue hal-00471974v1
Image document

Process Optimization and Downscaling of a Single Electron Single Dot Memory

Christophe Krzeminski , Xiaohui Tang , Nicolas Reckinger , Vincent Bayot , Emmanuel Dubois
IEEE Transactions on Nanotechnology, 2009, 8 (9), pp.737-748. ⟨10.1109/TNANO.2009.2021653⟩
Article dans une revue hal-00601623v1

Enhanced carrier injection in Schottky contacts using dopant segregation : a Monte Carlo research

E. Pascual , M.J. Martin , R. Rengel , G. Larrieu , Emmanuel Dubois
Semiconductor Science and Technology, 2009, 24, pp.025022-1-6. ⟨10.1088/0268-1242/24/2/025022⟩
Article dans une revue hal-00471970v1

Optimization of RF performance of metallic source/drain SOI MOSFETs using dopant segregation at the Schottky interface

R. Valentin , Emmanuel Dubois , G. Larrieu , J.P. Raskin , Gilles Dambrine
IEEE Electron Device Letters, 2009, 30, pp.1197-1199. ⟨10.1109/LED.2009.2031254⟩
Article dans une revue hal-00471810v1

A simple method for measuring Si-Fin sidewall roughness by AFM

X.H. Tang , V. Bayot , N. Reckinger , D. Flandre , J.P. Raskin
IEEE Transactions on Nanotechnology, 2009, 8, pp.611-616. ⟨10.1109/TNANO.2009.2021064⟩
Article dans une revue hal-00471972v1
Image document

Schottky barrier lowering with the formation of crystalline Er silicide on n-Si upon thermal annealing

N. Reckinger , X.H. Tang , V. Bayot , Dmitri Yarekha , S. Godey
Applied Physics Letters, 2009, 94 (19), pp.191913. ⟨10.1063/1.3136849⟩
Article dans une revue hal-00471985v1

Confined and guided catalytic growth of crystalline silicon films on a dielectric substrate

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , P. Coronel , T. Skotnicki
IOP Conference Series: Materials Science and Engineering, 2009, 6, pp.012022-1-6. ⟨10.1088/1757-899X/6/1/012022⟩
Article dans une revue hal-00471991v1

TEM characterization of polysilicon and silicide fin fabrication processes of FinFETs

J. Ratajczak , A. Laszcz , A. Czerwinski , J. Katcki , Xing Tang
Acta Physica Polonica A, 2009, 116, pp.89-91
Article dans une revue hal-00472000v1

Issues associated to rare earth silicide integration in ultra thin FD SOI Schottky barrier nMOSFETs

G. Larrieu , Dmitri Yarekha , Emmanuel Dubois , D. Deresmes , N. Breil
ECS Transactions, 2009, 19, pp.201-207. ⟨10.1149/1.3117410⟩
Article dans une revue hal-00471998v1
Image document

A single layer hydrogen silsesquioxane (HSQ) based lift-off process for germanium and platinum

Vikram Passi , Aurélie Lecestre , Christophe Krzeminski , Guilhem Larrieu , Emmanuel Dubois
Microelectronic Engineering, 2009, 87 (10), pp.1872-1878. ⟨10.1016/j.mee.2009.11.022⟩
Article dans une revue hal-00625915v1

An electrical evaluation method for the silicidation of silicon nanowires

Xing Tang , N. Reckinger , V. Bayot , D. Flandre , Emmanuel Dubois
Applied Physics Letters, 2009, 95, pp.023106-1-3. ⟨10.1063/1.3171929⟩
Article dans une revue hal-00471971v1

RF small signal analysis of Schottky-barrier p-MOSFETs

R. Valentin , Emmanuel Dubois , J.P. Raskin , G. Larrieu , Gilles Dambrine
IEEE Transactions on Electron Devices, 2008, 55, pp.1192-1202. ⟨10.1109/TED.2008.919382⟩
Article dans une revue hal-00356664v1

Characterization of ultrathin SOI film and application to short channel MOSFETs

Xing Tang , N. Reckinger , G. Larrieu , Emmanuel Dubois , D. Flandre
Nanotechnology, 2008, 19, pp.165703-1-7. ⟨10.1088/0957-4484/19/16/165703⟩
Article dans une revue hal-00356972v1

A Monte Carlo investigation of carrier transport in fabricated back-to-back Schottky diodes : influence of direct quantum tunnelling and temperature

E. Pascual , R. Rengel , N. Reckinger , Xing Tang , V. Bayot
physica status solidi (c), 2008, 5, pp.119-122. ⟨10.1002/pssc.200776519⟩
Article dans une revue hal-00356974v1

Integration of PtSi in p-type MOSFETs using a sacrificial low-temperature germanidation process

N. Breil , Emmanuel Dubois , A. Halimaoui , A. Pouydebasque , G. Larrieu
IEEE Electron Device Letters, 2008, 29, pp.152-154. ⟨10.1109/LED.2007.914090⟩
Article dans une revue hal-00356971v1
Image document

Low Schottky barrier height for ErSi2−x/n-Si contacts formed with a Ti cap

N. Reckinger , Xing Tang , V. Bayot , Dmitri Yarekha , Emmanuel Dubois
Journal of Applied Physics, 2008, 104 (10), pp.103523. ⟨10.1063/1.3010305⟩
Article dans une revue hal-00356975v1

Impact of channel doping on Schottky barrier height and investigation on p-SB MOSFETs performance

G. Larrieu , Emmanuel Dubois , Dmitri Yarekha , N. Breil , N. Reckinger
Materials Science and Engineering: B, 2008, 154-155, pp.159-162. ⟨10.1016/j.mseb.2008.10.014⟩
Article dans une revue hal-00356976v1

Transmission electron microscopy study of the platinum germanide formation process in the Ge/Pt/SiO2/Si structure

A. Laszcz , J. Ratajczak , A. Czerwinski , J. Katcki , V. Srot
Materials Science and Engineering: B, 2008, 154-155, pp.175-178. ⟨10.1016/j.mseb.2008.10.002⟩
Article dans une revue hal-00356977v1
Image document

Kinetics, stoichiometry, morphology and current drive capabilities of Ir-based silicides

G. Larrieu , Emmanuel Dubois , X. Wallart , J. Katcki
Journal of Applied Physics, 2007, 102, pp.094504-1-7. ⟨10.1063/1.2802564⟩
Article dans une revue hal-00255850v1
Image document

Silicon dry oxidation kinetics at low temperature in the nanometric range: Modeling and experiment

Christophe Krzeminski , Guilhem Larrieu , Julien Penaud , Evelyne Lampin , Emmanuel Dubois
Journal of Applied Physics, 2007, 101 (064908), pp.064908-1-8. ⟨10.1063/1.2711764⟩
Article dans une revue hal-00600436v1

Selective etching of Pt with respect to PtSi using a sacrificial low temperature germanidation process

N. Breil , A. Halimaoui , T. Skotnicki , Emmanuel Dubois , G. Larrieu
Applied Physics Letters, 2007, 91, pp.232112-1-3. ⟨10.1063/1.2821143⟩
Article dans une revue hal-00255853v1

Spacer-first damascene-gate FinFET architecture featuring stringer-free integration

F. Cornu-Fruleux , J. Penaud , Emmanuel Dubois , P. Coronel , G. Larrieu
IEEE Electron Device Letters, 2007, 28, pp.523-526. ⟨10.1109/LED.2007.897443⟩
Article dans une revue hal-00255851v1

TEM study of PtSi contacts layers for low Schottky barrier MOSFETs

A. Laszcz , J. Katcki , J. Ratajczak , A. Czerwinski , N. Breil
Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms, 2006, 253, pp.274-277
Article dans une revue hal-00138656v1

Transformation of hydrogen silsesquioxane properties with RIE plasma treatment for advanced multiple-gate MOSFETs

J. Penaud , F. Fruleux , Emmanuel Dubois
Applied Surface Science, 2006, 253, pp.395-399
Article dans une revue hal-00138654v1

Fabrication and room-temperature single-charging behavior of self-aligned single-dot memory devices

Xing Tang , N. Reckinger , V. Bayot , Christophe Krzeminski , Emmanuel Dubois
IEEE Transactions on Nanotechnology, 2006, 5, pp.649-656
Article dans une revue hal-00138655v1

TEM characterisation of the erbium silicide formation process using a Pt/Er stack on the silicon-on-insulator substrate

A. Łaszcz , J. Katcki , J. Ratajczak , Xing Tang , Emmanuel Dubois
Journal of Microscopy, 2006, 224, pp.38-41
Article dans une revue hal-00138660v1

Optimisation of HSQ e-beam lithography for the patterning of FinFET transistors

F. Fruleux , J. Penaud , Emmanuel Dubois , M. Francois , M. Muller
Microelectronic Engineering, 2006, 83, pp.776-779
Article dans une revue hal-00138652v1
Image document

Fabrication and room-temperature single-charging behavior of self-aligned single-dot memory devices

Tang Xiaohui , Nicolas Reckinger , Vincent Bayot , Christophe Krzeminski , Emmanuel Dubois
IEEE Transactions on Nanotechnology, 2006, 5 (6), p. 649. ⟨10.1109/TNANO.2006.883481⟩
Article dans une revue hal-00623277v1

An optimal high contrast e-beam lithography process for the patterning of dense fin networks

F. Fruleux , J. Penaud , Emmanuel Dubois , M. Francois , M. Muller
Materials Science and Engineering: C, 2006, 26, pp.893-897
Article dans une revue hal-00138653v1

TEM study of iridium silicide contact layers for low Schottky barrier MOSFETs

A. Laszcz , A. Czerwinski , J. Ratajczak , J. Katcki , N. Breil
Archives of Metallurgy and Materials, 2006, 51, pp.551-554
Article dans une revue hal-00152968v1

Impact of large angle tilt implantation on the threshold voltages of LDMOS transistors on SOI

H. Xu , E. Lampin , Emmanuel Dubois , S. Bardy , F. Murray
Materials Science and Engineering: B, 2005, 124-125, pp.323-326
Article dans une revue hal-00138396v1

Reactive ion etching of a 20 nanometers tungsten gate using a SF6/N2 chemistry and hydrogen silsesquioxane hard mask resist

G. Larrieu , Emmanuel Dubois
Journal of Vacuum Science and Technology, 2005, 23, pp.2046-2050
Article dans une revue hal-00125635v1

Integration of PtSi-based Schottky-barrier p-MOSFETs with a midgap tungsten gate

G. Larrieu , Emmanuel Dubois
IEEE Transactions on Electron Devices, 2005, 52, pp.2720-2726
Article dans une revue hal-00138397v1

Transmission electron microscopy of iridium silicide contacts for advanced MOSFET structures with Schottky source and drain

A. Laszcz , J. Katcki , J. Ratajczak , G. Larrieu , Emmanuel Dubois
Journal of Alloys and Compounds, 2004, 382, pp.24-28
Article dans une revue hal-00140977v1

Schottky-barrier source/drain MOSFETs on ultra-thin silicon-on-insulator body with a tungsten metallic midgap gate

G. Larrieu , Emmanuel Dubois
IEEE Electron Device Letters, 2004, 25, pp.801-803
Article dans une revue hal-00140981v1

Ideal subthreshold characteristics of thin-film SOI p-MOSFETs with Schottky source/drain and a midgap tungsten gate

G. Larrieu , Emmanuel Dubois
IEE Proceedings Microwaves Antennas and Propagation, 2004, 25, pp.801-803
Article dans une revue hal-00140978v1
Image document

Measurement of low Schottky barrier heights applied to metallic source/drain metal–oxide–semiconductor field effect transistors (MOSFETs)

Emmanuel Dubois , G. Larrieu
Journal of Applied Physics, 2004, 96, pp.729-737
Article dans une revue hal-00140973v1

Very low Schottky barrier to n-type silicon with PtEr-stack silicide

Xing Tang , J. Katcki , Emmanuel Dubois , N. Reckinger , J. Ratajczak
Solid-State Electronics, 2003, 47, pp.2105-2111
Article dans une revue hal-00146401v1

Accurate modelling of large angle tilt (LATID) and pure vertical implantations : application to the simulation of n- and p-LDMOS backgates

E. Lampin , Emmanuel Dubois , H. Xu , S. Bardy , F. Murray
IEEE Transactions on Electron Devices, 2003, 50, pp.1401-1404
Article dans une revue hal-00146392v1
Image document

Formation of Pt-based silicide contacts : kinetics, stochiometry and current drive capabilities

Guilhem Larrieu , Emmanuel Dubois , X. Wallart , Xavier Baie , J. Katcki
Journal of Applied Physics, 2003, 94 (12), pp.7801-7810. ⟨10.1063/1.1605817⟩
Article dans une revue hal-00146394v1

Low Schottky barrier source/drain for advanced MOS architecture : device design and material consideration

Emmanuel Dubois , G. Larrieu
Solid-State Electronics, 2002, 46, pp.997-1004
Article dans une revue hal-00148735v1

Non-quasi-static transient model of fully-depleted SOI MOSFET and its application to the analysis of charge sharing in an analog switch

Emmanuel Dubois , E. Robilliart
IEEE Electron Device Letters, 2002, 23, pp.43-45
Article dans une revue hal-00148743v1

From nanoelectronics to nanotechnology

Emmanuel Dubois
Belgian Journal of Electronics & Communications HF, 2002, 3, pp.9-16
Article dans une revue hal-00250387v1

Kinetics of oxydation by scanning probe microscopy : a space-charge-limited model

Emmanuel Dubois , J.L. Bubendorff
Journal of Applied Physics, 2000, 87, pp.8148-8154
Article dans une revue hal-00158510v1

Nanooxidation of sil¬icon surfaces with a scanning probe microscope : application to the conception of new devices

Didier Stiévenard , Paul-Aymeric Fontaine , Emmanuel Dubois , B. Grandidier , Jean Philippe Nys
Condensed Matter News, 1999
Article dans une revue hal-04249377v1

Nanometer scale lithography on silicon, titanium and PMMA resist using scanning probe microscopy

Emmanuel Dubois , Jean-Luc Bubbendorff
Solid-State Electronics, 1999, 43 (6), pp.1085-1089. ⟨10.1016/S0038-1101(99)00029-5⟩
Article dans une revue hal-04246744v1

Characterization of scanning tunneling microscopy and atomic force microscopy-based techniques for nanolithography on hydrogen-passivated silicon

P. Fontaine , Emmanuel Dubois , D. Stiévenard
Journal of Applied Physics, 1998, 84 (4), pp.1776-1781. ⟨10.1063/1.368334⟩
Article dans une revue hal-04246740v1

Nanooxidation using a scanning probe microscope: An analytical model based on field induced oxidation

D. Stiévenard , P. Fontaine , Emmanuel Dubois
Applied Physics Letters, 1997, 70 (24), pp.3272-3274. ⟨10.1063/1.118425⟩
Article dans une revue hal-04246734v1

Short-channel effect immunity and current capability of sub-0.1-micron MOSFET's using a recessed channel

P.-H. Bricout , Emmanuel Dubois
IEEE Transactions on Electron Devices, 1996, 43 (8), pp.1251-1255. ⟨10.1109/16.506776⟩
Article dans une revue hal-04246714v1

Extraction method of the base series resistances in bipolar transistor in presence of current crowding

Emmanuel Dubois , P.H. Bricout , E. Robilliart
IEEE Journal of Solid-State Circuits, 1996, 31 (1), pp.132-135. ⟨10.1109/4.485876⟩
Article dans une revue hal-04246698v1

Anomalous voltage overshoot during turn-off of thin-film n-channel SOI MOSFETs

Emmanuel Dubois , G.G. Shahidi , M.R. Scheuermann
IEEE Electron Device Letters, 1993, 14 (4), pp.164-166. ⟨10.1109/55.215163⟩
Article dans une revue hal-04246691v1

Piezoresistivity effects in N-MOSFET devices

Z.Z. Wanga , J. Suski , D. Collard , Emmanuel Dubois
Sensors and Actuators A: Physical , 1992, 34 (1), pp.59-65. ⟨10.1016/0924-4247(92)80140-X⟩
Article dans une revue hal-04246666v1

Adaptive mesh refinement for multilayer process simulation using the finite element method

B. Baccus , D. Collard , Emmanuel Dubois
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1992, 11 (3), pp.396-403. ⟨10.1109/43.124426⟩
Article dans une revue hal-04246676v1

A study of the electrical performances of isolation structures

Emmanuel Dubois , J.-L. Coppee , B. Baccus , D. Collard
IEEE Transactions on Electron Devices, 1990, 37 (6), pp.1477-1486. ⟨10.1109/16.106243⟩
Article dans une revue hal-04246652v1

Efficient two-dimensional multilayer process simulation of advanced bipolar devices

Bruno Baccus , Emmanuel Dubois , Dominique Collard , Denis Morel
Solid-State Electronics, 1989, 32 (11), pp.1013-1023. ⟨10.1016/0038-1101(89)90165-2⟩
Article dans une revue hal-04246681v1
Image document

ELECTRICAL PERFORMANCES COMPARISON OF SEMI AND FULLY RECESSED ISOLATION STRUCTURES

Emmanuel Dubois , J.-L. Coppee , B. Baccus , D. Collard
Journal de Physique Colloques, 1988, 49 (C4), pp.C4-813-C4-816. ⟨10.1051/jphyscol:19884171⟩
Article dans une revue jpa-00227913v1

Integrated metrology devices dedicated to transient Harman measurement of silicon nano-meshes

Hafsa Ikzibane , Jon Canosa , Emmanuel Dubois , J.F. Robillard
Workshop for Multi-Functional Materials, Université Polytechnique des Hauts-de-France; Université de Mons, Jun 2023, Valenciennes (Nord), France
Communication dans un congrès hal-04188230v1

Raman thermometry characterization of GeSbTe based phase change materials

Akash Patil , Yannick Le-Friec , Jury Sandrini , Roberto Simola , Simon Jeannot
XVII Conference on Phonon Scattering in Condensed Matter, Phonons 2023, Jul 2023, Paris, France
Communication dans un congrès hal-04188350v1

GeTe thermal characterization with 3-omega and Raman thermometry methods

C. Mercier , A. Patil , J. Canosa , C. Jerez-Garcia , M. Brouillard
Workshop for Multi-Functional Materials 2023, Jun 2023, Valenciennes, France. 1 page
Communication dans un congrès hal-04188217v1
Image document

RF Performance of Large Germanium Telluride Switches for Power Application

Ismaël Charlet , Bruno Reig , Corentin Mercier , Julien Delprato , Vincent Puyal
18th European Microwave Integrated Circuits Conference (EuMIC), Sep 2023, Berlin, Germany
Communication dans un congrès hal-04227456v1

Silicon Based Suspended Thermometry Device Fabrication for Phonon Ballistic and Coherent Regimes Study

J. Canosa Diaz , H. Ikzibane , B. Brisuda , C. Polanco Garcia , L. Saminadayar
PHONONICS 2023: 6th International Conference on Phononic Crystals/Metamaterials/Metasurfaces, Phonon Transport, and Topological Phononics, Jun 2023, Manchester (UK), United Kingdom
Communication dans un congrès hal-04188314v1
Image document

Improving off-state capacitance of SOI-CMOS RF switches: how good are air microcavities?

Daniel Gheysens , Alain Fleury , Stéphane Monfray , Frédéric Gianesello , Philippe Cathelin
IEEE 53rd European Solid-State Device Research Conference, ESSDERC, Sep 2023, Lisbon, Portugal. pp.109-112, ⟨10.1109/ESSDERC59256.2023.10268524⟩
Communication dans un congrès hal-04226645v1
Image document

Raman Thermometry Characterization of GeSbTe based Phase Change Materials

Akash Patil , Yannick Le-Friec , Jury Sandrini , Roberto Simola , Philippe Boivin
2022 28th International Workshop on Thermal Investigations of ICs and Systems (THERMINIC), Sep 2022, Dublin, Ireland. pp.1-5, ⟨10.1109/THERMINIC57263.2022.9950662⟩
Communication dans un congrès hal-03875742v2
Image document

A 140 GHz to 170 GHz active tunable noise source development in SiGe BiCMOS 55 nm technology

Victor Fiorese , Joao Carlos , Azevedo Goncalves , Simon Bouvot , Emmanuel Dubois
16th European Microwave Integrated Circuits Conference, EuMIC 2021, Apr 2022, London, United Kingdom. pp.125-128, ⟨10.23919/EuMIC50153.2022.9783645⟩
Communication dans un congrès hal-03637553v1
Image document

Suspended crystalline silicon thermometry devices: towards quantum nanophononics

Jon Canosa , Hafsa Ikzibane , Boris Brisuda , Natalio Mingo , Olivier Bourgeois
Nanoscale and Microscale Heat Transfer VII - Eurotherm, May 2022, Palermo, Italy
Communication dans un congrès hal-03870287v1
Image document

Exploring the response of Ryugu-inspired phyllosilicates to a pulsed laser

Daniel Hallatt , Hugues Leroux , Emmanuel Dubois , Flavie Braud
53rd Lunar and Planetary Science Conference, Mar 2022, Texas, United States
Communication dans un congrès hal-03664443v1
Image document

Integrated metrology devices dedicated to transient Harman measurement of silicon nano-meshes

Hafsa Ikzibane , Jon Canosa , Emmanuel Dubois , Jean-François Robillard
Nanoscale and Microscale Heat Transfer VII - Eurotherm, May 2022, Palermo, Italy
Communication dans un congrès hal-03870513v1

[Invited] Heat transfer in silicon nano-membranes: application to thermoelectricity

J.F. Robillard , Hafsa Ikzibane , Antonin Massoud , Valeria Lacatena , Maciej Haras
The 20th international symposium on the Physics of Semiconductors and Applications (ISPSA20), Jul 2022, Jaeju, South Korea
Communication dans un congrès hal-04088371v1

[Invited] Packaging fonctionnel de fonctions RF, mmW et photonique basé sur le micro-usinage laser

Emmanuel Dubois
9eme Conférence plénière du GdR Ondes, GDR ONDES 2451, Nov 2021, Villeneuve d'Ascq, France
Communication dans un congrès hal-03741454v1
Image document

220 GHz E-Plane Transition from Waveguide to Suspended Stripline Integrated on Industrial Organic Laminate Substrate Technology

Victor Fiorese , F. Laporte , J.F. Caillet , G. Catalano , F. Gianesello
European Microwave Conference, EuMC 2021, Session EuMC06 - 3D to 2D Transitions and New Materials for mmWave system Integration, EuMIC/EuMC/EuMW, Apr 2021, London, United Kingdom. pp.99-102, ⟨10.23919/EuMC50147.2022.9784166⟩
Communication dans un congrès hal-03637651v1

[Invited] Functional packaging of RF, mmW and photonic functions based on femtosecond laser micromachining

Emmanuel Dubois , Arun Bhaskar , Jean-Marc Boucaud , A. Alves , Cybelle Belem-Gonçalves
19th International Nanotech Symposium NANO KOREA 2021, TS10-Nanofabrication & Measurements, Jul 2021, Seoul, South Korea
Communication dans un congrès hal-03582878v1
Image document

Optimization of deep rib high speed phase modulators on 300mm industrial Si-photonics platform

Stephane Monfray , Sébastien Cremer , Nathalie Vulliet , Emmanuel Dubois , Florian Domengie
SPIE Photonics Europe, Conference 11364 - Applications of Photonic Technology - Integrated Photonics Platforms: Fundamental Research, Manufacturing and Applications, Apr 2020, Strasbourg, France. 1136403, 8 p., ⟨10.1117/12.2554725⟩
Communication dans un congrès hal-03092725v1
Image document

Evaluation of micro laser sintering metal 3D-printing technology for the development of waveguide passive devices up to 325 GHz

Victor Fiorese , Cybelle Belem-Gonçalves , Carlos del Rio , Diane Titz , Frédéric Gianesello
IEEE/MTT-S International Microwave Symposium, IMS 2020, Aug 2020, Los Angeles, United States. pp.1168-1171, ⟨10.1109/IMS30576.2020.9224102⟩
Communication dans un congrès hal-03091222v1
Image document

Device for broadband THz spectroscopy of 1-nL-volume samples

Sergey Mitryukovskiy , Melanie Lavancier , Flavie Braud , Theo Hannotte , Emmanuel Dubois
2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. paper Tu-PM2-3-6, 2 p., ⟨10.1109/IRMMW-THz.2019.8874026⟩
Communication dans un congrès hal-02886495v1
Image document

Shining the light to terahertz spectroscopy of nL-volume biological samples

Sergey I. Mitryukovskiy , Melanie Lavancier , Flavie Braud , Goedele Roos , Theo Hannotte
CLEO (The Conference on Lasers and Electro-Optics Conference) 2019, May 2019, San Jose, United States. paper ATu3K.6, 2 p., ⟨10.1364/CLEO_AT.2019.ATu3K.6⟩
Communication dans un congrès hal-02158865v1
Image document

Femtosecond laser micromachining of crystalline silicon for ablation of deep macro-sized cavities for silicon-on-insulator applications

Arun Bhaskar , Justine Philippe , Flavie Braud , Etienne Okada , J.F. Robillard
SPIE Photonics West, Conference 10906 - Laser-based Micro- and Nanoprocessing XIII, Feb 2019, San Francisco, United States. 109060K, 13 p., ⟨10.1117/12.2507652⟩
Communication dans un congrès hal-02317233v1
Image document

Towards broadband THz spectroscopy and analysis of sub-wavelength-size biological samples

Sergey Mitryukovskiy , Melanie Lavancier , Flavie Braud , Yue Bai , Emmanuel Dubois
2019 44th International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz), Sep 2019, Paris, France. ⟨10.1109/IRMMW-THz.2019.8874409⟩
Communication dans un congrès hal-02886507v1

Femtosecond pulsed laser for advanced photonic packaging

Quentin Hivin , Jean-Marc Boucaud , Flavie Braud , Cédric Durand , Frédéric Gianesello
7th Electronic System-Integration Technology Conference, ESTC 2018, Sep 2018, Dresden, Germany. ⟨10.1109/ESTC.2018.8546374⟩
Communication dans un congrès hal-01961743v1

Artificially-induced anisotropic heat flow in 2D patterned membranes

Stanislav Didenko , Tierno M'Bah , Antonin Massoud , Valeria Lacatena , Maciej Haras
Eurotherm 111: Nanoscale and Microscale Heat Transfer VI, Dec 2018, Levi, Finland
Communication dans un congrès hal-02025449v1

Large-area femtosecond laser ablation of Silicon to create membrane with high performance CMOS-SOI RF functions

Arun Bhaskar , Justine Philippe , Matthieu Berthomé , Etienne Okada , J.F. Robillard
2018 7th Electronic System-Integration Technology Conference (ESTC), Sep 2018, Dresden, Germany. pp.801-806, ⟨10.1109/ESTC.2018.8546407⟩
Communication dans un congrès hal-02317259v1

Device for light-matter interaction enhancement in the full THz range for precise spectroscopy of small volume samples

Romain Peretti , Sergey I. Mitryukovskiy , Flavie Braud , Emilien Peytavit , Emmanuel Dubois
2018 43rd International Conference on Infrared, Millimeter, and Terahertz Waves (IRMMW-THz 2018), Sep 2018, Nagoya, Japan. paper Tu-POS-05, 1-2, ⟨10.1109/IRMMW-THz.2018.8510508⟩
Communication dans un congrès hal-02317320v1

Single mode polymer optical waveguides and out-of-plane coupling structure on a glass substrate

J.M. Boucaud , Q. Hivin , C. Durand , F. Gianesello , Davide Bucci
7th Electronic System-Integration Technology Conference, ESTC 2018, Sep 2018, Dresden, Germany. ⟨10.1109/ESTC.2018.8546461⟩
Communication dans un congrès hal-01961666v1

Demonstration of low-thermal conductivity silicon nano-patterned membranes as a thermoelectric material

J.F. Robillard , Tierno M'Bah , Stanislav Didenko , Tianqi Zhu , Di Zhou
Eurotherm 111: Nanoscale and Microscale Heat Transfer VI, Dec 2018, Levi, Finland
Communication dans un congrès hal-02025459v1

[Invited] Phonon heat conduction in phononic crystal membranes

Antonin Massoud , Jean-Marie Bluet , Regis Orobtchouk , Valeria Lacatena , Maciej Haras
18th IEEE International Conference on Nanotechnology, IEEE Nano 2018, Session on “nanophononics”, Jul 2018, Cork, Ireland
Communication dans un congrès hal-01932516v1

Performance evaluation of silicon based thermoelectric generators interest of coupling low thermal conductivity thin films and a planar architecture

Thierno-Moussa Bah , Stanjen Didenko , Stephane Monfray , Thomas Skotnicki , Emmanuel Dubois
48th European Solid-State Device Research Conference (ESSDERC 2018), Sep 2018, Dresden, Germany. pp.226-229, ⟨10.1109/ESSDERC.2018.8486919⟩
Communication dans un congrès hal-02317293v1

[Invited] Phonon heat conduction in phononic crystal membranes

Antonin Massoud , Jean-Marie Bluet , Regis Orobtchouk , Valeria Lacatena , Maciej Haras
E-MRS Spring Meeting, Symposium "Nanostructures for phononic applications", Jun 2018, Strasbourg, France
Communication dans un congrès hal-01932523v1

Thermal conductivity in Si 2D phononic membranes studied by Raman Thermometry,

Stanislav Didenko , Antonin Massoud , Tierno M'Bah , J.F. Robillard , Pierre-Olivier Chapuis
GDRe Workshop on Thermal Nanosciences and NanoEngineering, Nov 2017, Lille, France
Communication dans un congrès hal-02030916v1

Considerations on Fermi-depinning, dipoles and oxide tunneling for oxygen-based dielectric insertions in advanced CMOS contacts

Julien Borrel , L. Hutin , H. Grampeix , E. Nolot , E. Ghegin
IEEE Silicon Nanoelectronics Workshop (SNW), Jun 2016, Honolulu, United States. pp.140-141, ⟨10.1109/SNW.2016.7578022⟩
Communication dans un congrès hal-03325002v1

Heat conduction in 2D phononic structures

P.-O. Chapuis , M. Massoud , T. Nghiem , J.M. Bluet , V. Lacatena
WE-Heraeus-Seminar “Heat Transfer and Heat Conduction on the Nanoscale”, Apr 2016, Bad Honnef, Germany
Communication dans un congrès hal-01475329v1

Thermal conductivity of Si 2D phononic membranes studied by MD simulations and Raman thermometry

S. Didenko , V. Lacatena , M. Haras , J.F. Robillard , P.O. Chapuis
Eurotherm 108: Nanoscale and Microscale Heat Transfer V, Sep 2016, Santorini, Greece
Communication dans un congrès hal-01475281v1

Metal/insulator/semiconductor contacts for ultimately scaled CMOS nodes: projected benefits and remaining challenges

Julien Borrel , Louis Hutin , Helen Grampeix , Emmanuel Nolot , Magali Tessaire
IWJT 2016 - 16th International Workshop on Junction Technology, May 2016, Shanghai, China. pp.14-19
Communication dans un congrès hal-03325000v1

Improved performance of flexible CMOS technology using ultimate thinning and transfer bonding

Emmanuel Dubois , Justine Philippe , Matthieu Berthomé , J.F. Robillard , Christophe Gaquière
6th Electronic System-Integration Technology Conference (ESTC), Sep 2016, Grenoble, France. ⟨10.1109/ESTC.2016.7764513⟩
Communication dans un congrès hal-03272693v1

Comparative thermal characterization of nanophononic membrane strips by Raman thermometry, scanning thermal microscopy, and an electro-thermal method

M. Massoud , V. Lacatena , M. Haras , S. Didenko , P.O. Chapuis
Eurotherm 108: Nanoscale and Microscale Heat Transfer V, Sep 2016, Santorini, Greece
Communication dans un congrès hal-01475299v1

Application-oriented performance of RF CMOS technologies on flexible substrates

Justine Philippe , Aurélien Lecavelier , Matthieu Berthomé , J.F. Robillard , Christophe Gaquière
IEEE International Electron Devices Meeting (IEDM), Dec 2015, Washington, United States. ⟨10.1109/IEDM.2015.7409707⟩
Communication dans un congrès hal-03272696v1

Thermal Conductivity Reduction in Fully Suspended and Periodically Patterned Membranes Measured by Electro-Thermal Method

V. Lacatena , M. Haras , J.F. Robillard , T. Skotnicki , Emmanuel Dubois
MRS Fall meeting, Symposium II on “Phonons”, Nov 2015, Boston, United States
Communication dans un congrès hal-01464806v1

Characterization of flexible CMOS technology tranferred onto a metallic foil

Justine Philippe , Aurelien Lecavelier Des Etangs-Levallois , Philip Latzel , Francois Danneville , J.F. Robillard
2015 Joint International EUROSOI Workshop and International Conference on Ultimate Integration on Silicon, Jan 2015, Bologna, Italy. ⟨10.1109/ULIS.2015.7063747⟩
Communication dans un congrès hal-03272691v1

Ultra-foldable/stretchable wideband RF interconnects using laser ablation of metal film on a flexible substrate

Sofiene Bouaziz , Matthieu Berthomé , J.F. Robillard , Emmanuel Dubois
45th European Microwave Conference (EuMC), Sep 2015, Paris, France. ⟨10.1109/EuMC.2015.7345902⟩
Communication dans un congrès hal-03325008v1

Synthesis and electrical characterisations of a low work function cesium oxide coating for high efficiency thermionic energy converter

François Morini , J.F. Robillard , Stéphane Monfray , I.D. Baikie , Thomas Skotnicki
European Materials Research Society Spring Meeting, E-MRS Spring 2014, Symposium BB - Materials by design for energy applications through theory and experiment, 2014, Lille, France
Communication dans un congrès hal-00966136v1

Fabrication of integrated micrometer platform for thermoelectric measurements

Maciej Haras , V. Lacatena , François Morini , J.F. Robillard , S. Monfray
60th Annual IEEE International Electron Devices Meeting (IEDM), Dec 2014, San Francisco, United States. paper 8.5, 8.5.1-8.5.4, ⟨10.1109/IEDM.2014.7047012⟩
Communication dans un congrès hal-03325009v1

Efficient reduction of thermal conductivity in silicon using phononic-engineered membranes

Valeria Lacatena , Maciej Haras , J.F. Robillard , Stéphane Monfray , Thomas Skotnicki
European Materials Research Society Spring Meeting, E-MRS Spring 2014, Symposium D - Phonons and fluctuations in low dimensional structures, 2014, Lille, France
Communication dans un congrès hal-00964542v1

Silicon thermoelectrics : a non-conventional approach based on thin-film phononic engineering

J.F. Robillard , Valeria Lacatena , Maciej Haras , Stéphane Monfray , Thomas Skotnicki
CMOS Emerging Technologies Research Symposium, 2014, Grenoble, France
Communication dans un congrès hal-00976631v1

Phononic crystals patterning by e-beam lithography "dots on the fly" methodology for integration into thermoelectric energy converters

Valeria Lacatena , Maciej Haras , J.F. Robillard , Stéphane Monfray , Thomas Skotnicki
17èmes Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique, JNRDM 2014, 2014, Villeneuve d'Ascq, France. 3 p
Communication dans un congrès hal-01020281v1

Intégration hétérogène de systèmes communicants CMOS-SOI en gamme millimétrique

Justine Philippe , Aurélien Lecavelier , Francois Danneville , Daniel Gloria , Emmanuel Dubois
17èmes Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique, JNRDM 2014, 2014, Villeneuve d'Ascq, France. 4 p
Communication dans un congrès hal-01020278v1

High performance CMOS with enhanced property of mechanical flexibility

Emmanuel Dubois , Aurélien Lecavelier Des Etangs-Levallois , Justine Philippe , Sylvie Lepilliet , Francois Danneville
CMOS Emerging Technologies Research Symposium, 2014, Grenoble, France
Communication dans un congrès hal-00976625v1

Intégration hétérogène de systèmes communicants CMOS-SOI en gamme millimétrique

Justine Philippe , Emmanuel Dubois , Francois Danneville , Daniel Gloria
Doctoriales Lille Nord de France 2014, 2014, Marcq-en-Baroeul, France
Communication dans un congrès hal-01005696v1

Nouvelle technologie de contact pour CMOS FD-SOI 10nm et en deçà le contact dipolaire

Julien Borrel , Louis Hutin , Magali Grégoire , Fabrice Nemouchi , Olivier Rozeau
17es Journées Nationales du Réseau Doctoral en Micro-Nanoélectronique, JNRDM 2014, 2014, Villeneuve d'Ascq, France. 4 p
Communication dans un congrès hal-01020275v1

Integrated measurement platform for thermal conductivity measurements in thin-film crystalline silicon and silicon-germanium

Maciej Haras , Valeria Lacatena , Stéphane Monfray , J.F. Robillard , Thomas Skotnicki
European Materials Research Society Spring Meeting, E-MRS Spring 2014, Symposium BB - Materials by design for energy applications through theory and experiment, 2014, Lille, France
Communication dans un congrès hal-00964577v1

[Invited] SOI technologies for high-performance flexible electronics

Emmanuel Dubois
Joint 7th International Workshop ''Functional Nanomaterials and Devices'' and 2nd Ukrainian-French Seminar ''Semiconductor-on-insulator materials, devices and circuits : physics, technology & diagnostic'', 2013, Kyiv, Ukraine
Communication dans un congrès hal-00819468v1

Low work function thin film growth for high efficiency thermionic energy converter : coupled Kelvin probe and photoemission study of potassium oxide

François Morini , Emmanuel Dubois , J.F. Robillard , S. Monfray , T. Skotnicki
European Materials Research Society Spring Meeting, E-MRS Spring 2013, Symposium C - Advanced thermoelectrics : from materials to devices, 2013, Strasbourg, France
Communication dans un congrès hal-00819702v1

Detection of neurotoxic gases by functionalized silicon nanowire field-effect transistors

J.P. Simonato , L. Ordronneau , A. Carella , V. Passi , Emmanuel Dubois
ImagieNano Conference, Security & Defense, NanoSD 2013, 2013, Bilbao, Spain
Communication dans un congrès hal-00811786v1

Phononic engineering of silicon using dots on the fly 'electron beam lithography and plasma etching'

V. Lacatena , M. Haras , J.F. Robillard , S. Monfray , T. Skotnicki
39th International Conference on Micro and Nano Engineering, MNE 2013, 2013, London, United Kingdom
Communication dans un congrès hal-00878809v1
Image document

Carreau: CARrier REsource Access for mUle, DTN applied to hybrid WSN / satellite system

Patrice Raveneau , Emmanuel Chaput , Riadh Dhaou , Emmanuel Dubois , Patrick Gelard
IEEE Vehicular Technology Conference - VTC 2013, Sep 2013, Las Vegas, United States. pp. 1-5
Communication dans un congrès hal-01148365v1

Self-aligned contacts for 10nm FDSOI node : from device to circuit evaluation

H. Niebojewski , C. Le Royer , Y. Morand , O. Rozeau , M.A. Jaud
39th IEEE SOI-3D-Subthreshold Microelectronics Technology Unified Conference, IEEE S3S 2013, 2013, Monterey, CA, United States. paper 6a.4, 2 p., ⟨10.1109/S3S.2013.6716549⟩
Communication dans un congrès hal-00955675v1

Extra-low parasitic gate-to-contacts capacitance architecture for sub-14nm transistor nodes

H. Niebojewski , C. Le Royer , Y. Morand , M.A. Jaud , O. Rozeau
9th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits, EUROSOI 2013, 2013, Paris, France
Communication dans un congrès hal-00878810v1

Characterization of PtSi nanowires transferred onto organic film

A. Lecavelier Des Etangs-Levallois , V. Passi , Z.K. Chen , François Morini , Emmanuel Dubois
38th International Micro & Nano Engineering Conference, MNE 2012, 2012, Toulouse, France
Communication dans un congrès hal-00797774v1

Radio frequency and low noise characteristics of SOI technology on plastic for flexible electronics

A. Lecavelier Des Etangs-Levallois , Emmanuel Dubois , Marie Lesecq , Francois Danneville , Y. Tagro
8th European Workshop on Silicon on Insulator Technology, Devices and Circuits, EuroSOI 2012, 2012, Montpellier, France. pp.73-78, ⟨10.1016/j.sse.2013.02.049⟩
Communication dans un congrès hal-00797713v1

Low-frequency noise in Schottky barriers based nanoscale field-effect transistors

N. Clement , G. Larrieu , Emmanuel Dubois
Materials Research Society Spring Meeting, MRS Spring 2012, Symposium D : Nanocontacts - Emerging Materials and Processing for Ohmicity and Rectification, 2012, San Francisco, CA, United States
Communication dans un congrès hal-00797702v1

Electrical nerve agents sensors based on chemically functionalized nanomaterials

A. Carella , S. Clavaguera , C. Celle , S. Lenfant , D. Vuillaume
NSTI Nanotechnology Conference and Expo, NSTI-Nanotech 2012, 2012, Santa Clara, CA, United States. pp.130-132
Communication dans un congrès hal-00801097v1

Room temperature process for direct writing of nanostructures on plastic

V. Passi , A. Lecavelier Des Etangs-Levallois , Z.K. Chen , François Morini , Emmanuel Dubois
38th International Micro & Nano Engineering Conference, MNE 2012, 2012, Toulouse, France
Communication dans un congrès hal-00797783v1

High frequency noise potentialities of reported CMOS 65 nm SOI technology on flexible substrate

Y. Tagro , A. Lecavelier Des Etangs-Levallois , L. Poulain , Sylvie Lepilliet , D. Gloria
12th IEEE Topical Meeting on Silicon Monolithic Integrated Circuits in Rf Systems, SiRF 2012, 2012, Santa Clara, CA, United States. pp.89-92, ⟨10.1109/SiRF.2012.6160147⟩
Communication dans un congrès hal-00801054v1

TCAD study of the detection mechanisms in silicon nanoribbon-based gas sensors

L. Silvestri , S. Reggiani , V. Passi , F. Ravaux , Emmanuel Dubois
41st European Solid-State Device Research Conference, ESSDERC 2011, 2011, Helsinki, Finland. paper ID 5173, 131-134
Communication dans un congrès hal-00800024v1

Innovative electrical sensors for highly toxic gases based on carbon nanotubes and silicon nanowires

J.P. Simonato , A. Carella , S. Clavaguera , M. Delalande , S. Lenfant
Materials Research Society Fall Meeting, MRS Fall 2011, Symposium BB : Semiconductor nanowires for photovoltaics, 2011, Boston, MA, United States
Communication dans un congrès hal-00807191v1

Principe alternatif de conversion thermoélectrique : nouveaux matériaux à faible travail d'extraction

François Morini , Emmanuel Dubois , T. Skotnicki , S. Monfray
14èmes Journées Nationales du Réseau Doctoral de Micro et Nanoélectronique, JNRDM 2011, 2011, Cachan, France. pp.1-4
Communication dans un congrès hal-00806677v1

Fabrication and electrical characterization of dense vertical Si nanowires arrays

X.L. Han , G. Larrieu , Emmanuel Dubois
European Materials Research Society Spring Meeting, E-MRS Spring 2010, Symposium P : Science and technology of nanotubes, nanowires and graphene, 2010, Strasbourg, France
Communication dans un congrès hal-00574100v1

Synthesis and characterization of crystalline silicon ribbons on insulator using catalytic Vapor-Liquid-Solid growth inside a cavity

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , P. Coronel , T. Skotnicki
6th Workshop of the Thematic Network on Silicon on Insulator Technology, Devices and Circuits, EUROSOI 2010, 2010, France. pp.99-100
Communication dans un congrès hal-00549974v1

Backgate bias and stress level impact on giant piezoresistance effect in thin silicon films and nanowires

V. Passi , J.P. Raskin , F. Ravaux , Emmanuel Dubois
23rd IEEE International Conference on Micro Electro Mechanical Systems, MEMS 2010, 2010, China. pp.464-467, ⟨10.1109/MEMSYS.2010.5442464⟩
Communication dans un congrès hal-00549973v1

Report hétérogène de dispositifs et circuits CMOS RF sur substrat souple

A. Lecavelier Des Etangs-Levallois , Emmanuel Dubois , Francois Danneville , D. Gloria , C. Raynaud
13èmes Journées Nationales du Réseau Doctoral en Microélectronique, JNRDM 2010, 2010, France. pp.CD-ROM, Session Systèmes hautes fréquences, 1-4
Communication dans un congrès hal-00573208v1

Metallic source/drain for advanced MOS architectures : from material engineering to device integration

Emmanuel Dubois , G. Larrieu , N. Breil , R. Valentin , Francois Danneville
SINANO-NANOSIL Workshop, Silicon-based CMOS and Beyond-CMOS Nanodevices, 2009, Athens, Greece
Communication dans un congrès hal-00575267v1

Metallic source/drain architecture for advanced MOS technology : an overview of METAMOS results

Emmanuel Dubois , G. Larrieu , N. Breil , R. Valentin , Francois Danneville
8th Symposium Diagnostics & Yield : Advanced Silicon Devices and Technologies for ULSI Era, 2009, Warsaw, Poland
Communication dans un congrès hal-00575696v1

Self-aligned single-electron memory fabrication based on Si/SiGe/Si heterostructures

Xing Tang , F. Ravaux , Emmanuel Dubois , E. Kasper , K. Alim
35th International Conference on Micro & Nano Engineering, MNE 2009, 2009, Ghent, Belgium
Communication dans un congrès hal-00575272v1

A conceptual agent-based model to explore biases in a role playing game outcomes, "Learn to game, game to learn"

Emmanuel Dubois , Véronique Souchère , Olivier Barreteau
40. Annual Conference of the International Simulation And Gaming Association, ISAGA 2009, International Simulation And Gaming Association (ISAGA). Delft, NLD., Jun 2009, Singapour, Singapore. 10 p
Communication dans un congrès hal-02824111v1

NANOSIL Network of Excellence : silicon-based nanostructures and nanodevices for long-term nanoelectronics applications

F. Balestra , E. Parker , D. Leadley , S. Mantl , Emmanuel Dubois
European Materials Research Society Spring Meeting, E-MRS Spring 2008, Symposium I : Front-end junction and contact formation in future Silicon/Germanium based devices, 2008, Strasbourg, France
Communication dans un congrès hal-00361546v1

Selective etching of implanted silicon dioxide in hydrofluoric acid

V. Passi , Aurélie Lecestre , Emmanuel Dubois , J.P. Raskin
34th International Conference on Micro and Nano Engineering, MNE 2008, 2008, Athens, Greece
Communication dans un congrès hal-00361548v1

Investigation on the platinum silicide Schottky barrier height modulation using a dopant segregation approach

N. Breil , A. Halimaoui , Emmanuel Dubois , E. Lampin , L. Godet
Materials Research Society Spring Meeting, MRS Spring 2008, Symposium E : Doping Engineering for Front-End Processing, 2008, United States. pp.85-90
Communication dans un congrès hal-00360805v1

Transmission electron microscopy study of the platinum germanide formation process in the Ge/Pt/SiO2/Si structure

A. Laszcz , J. Ratajczak , A. Czerwinski , J. Katcki , V. Srot
European Materials Research Society Spring Meeting, E-MRS Spring 2008, Symposium I : Front-end junction and contact formation in future Silicon/Germanium based devices, 2008, Strasbourg, France
Communication dans un congrès hal-00361547v1

Impact of channel doping on Schottky barrier height and investigation on p-SB MOSFETs performance

G. Larrieu , Emmanuel Dubois , Dmitri Yarekha , N. Breil , N. Reckinger
European Materials Research Society Spring Meeting, E-MRS Spring 2008, Symposium I : Front-end junction and contact formation in future Silicon/Germanium based devices, 2008, _, France
Communication dans un congrès hal-00361543v1

Electron microscopy of silicides formation in Schottky barrier contacts to electronic devices

J. Katcki , J. Ratajczak , A. Laszcz , F. Phillipp , N. Reckinger
XIII International Conference on Electron Microscopy, EM'2008, 2008, Cracow-Zakopane, Poland
Communication dans un congrès hal-00361545v1

Confined and guided catalytic growth of crystalline silicon films on a dielectric substrate

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , P. Coronel , T. Skotnicki
ESS-Fringe Poster Session of 2008 European Solid-State Device Research Conference, ESSDERC 2008, 2008, Edinburgh, Scotland, United Kingdom
Communication dans un congrès hal-00361551v1

Investigation of the ytterbium silicide as low Schottky barrier source/drain contact material for n-type MOSFET

Dmitri Yarekha , G. Larrieu , Emmanuel Dubois , S. Godey , X. Wallart
Journées Nationales sur les Technologies Emergentes en Micro-nanofabrication, JNTE 08, 2008, Toulouse, France
Communication dans un congrès hal-00361550v1

Localized and oriented catalytic growth of crystalline silicon nanoribbons

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , P. Coronel , T. Skotnicki
Journées Nationales sur les Technologies Emergentes en Micro-nanofabrication, JNTE 08, 2008, Toulouse, France
Communication dans un congrès hal-00361549v1

Transmission electron microscopy study of erbium silicide formation from Ti/Er stack for Schottky contact applications

J. Ratajczak , A. Laszcz , A. Czerwinski , J. Katcki , F. Phillipp
XIII International Conference on Electron Microscopy, EM'2008, 2008, Cracow-Zakopane, Poland
Communication dans un congrès hal-00361544v1

Recent advances in metallic source/drain MOSFETs

Emmanuel Dubois , G. Larrieu , N. Breil , R. Valentin , Francois Danneville
8th International Workshop on Junction Technology, IWJT'08, 2008, Shanghai, China. pp.139-144, ⟨10.1109/IWJT.2008.4540035⟩
Communication dans un congrès hal-00800974v1

NANOSIL Network of Excellence: Silicon-based nanostructures and nanodevices for long-term nanoelectronics applications

F. Balestra , E. Parker , D. Leadley , S. Mantl , Emmanuel Dubois
European Materials Research Society (E-MRS 2008), Symposium, May 2008, Strasbourg, France
Communication dans un congrès hal-00391849v1

Dual silicide integration of low Schottky-barrier source-drain in a spacer-first damascene-metal-gate FinFET architecture

F. Cornu-Fruleux , J. Penaud , Emmanuel Dubois , P. Coronel , G. Larrieu
Proceedings of the 12th Silicon Nanoelectronics Workshop, SNW 2007, 2007, Kyoto, Japan
Communication dans un congrès hal-00367364v1

An original selective etch of Pt vs PtSi using a low temperature germanidation process

N. Breil , A. Halimaoui , Emmanuel Dubois , G. Larrieu , J. Ratajczak
Proceedings of the 211th Electrochemical Society Meeting, 2007, Chicago, IL, United States
Communication dans un congrès hal-00367361v1

TEM study of the silicidation process in Pt/Si and Ir/Si structures

A. Laszcz , J. Ratajczak , A. Czerwinski , J. Katcki , N. Breil
Proceedings of the 15th International Conference on Microscopy of Semiconducting Materials, MSMXV, 2007, Cambridge, United Kingdom
Communication dans un congrès hal-00367363v1

Impact of n-type channel implantation on performance of p-type Schottky barrier MOSFETs

N. Breil , Emmanuel Dubois , A. Pouydebasque , T. Skotnicki
Proceedings of the 12th Silicon Nanoelectronics Workshop, SNW 2007, 2007, Kyoto, Japan
Communication dans un congrès hal-00367365v1

Low temperature implementation of dopant-segregated band-edge metallic S/D junctions in thin-body SOI p-MOSFETs

G. Larrieu , Emmanuel Dubois , R. Valentin , N. Breil , Francois Danneville
IEEE International Electron Devices Meeting, IEDM 2007, 2007, United States. pp.147-150, ⟨10.1109/IEDM.2007.4418886⟩
Communication dans un congrès hal-00284387v1

High frequency figures of merit of conventional and Schottky barrier MOSFETs

Francois Danneville , R. Valentin , Emmanuel Dubois , Gilles Dambrine
4th International Symposium on System Construction of Global-Network-Oriented Information Electronics, 2007, Sendai, Japan. pp.412-417
Communication dans un congrès hal-00286235v1

Metallic source/drain architecture : status and prospects

Emmanuel Dubois , G. Larrieu , N. Breil , M. Ostling , P.E. Hellström
SINANO-ESSDERC Workshop, 2007, Munich, Germany
Communication dans un congrès hal-00367349v1

Investigations of high frequency performance of Schottky-barrier MOSFETs

R. Valentin , Emmanuel Dubois , J.P. Raskin , Gilles Dambrine , G. Larrieu
7th Topical Meeting on Silicon Monolithic Integrated Circuits in RF Systems, SiRF 2007, 2007, United States. pp.32-35, ⟨10.1109/SMIC.2007.322762⟩
Communication dans un congrès hal-00284381v1

Erbium silicide formation under ultra high vacuum

N. Breil , Emmanuel Dubois , Y. Morand , V. Carron , A. Halimaoui
Proceedings of the 16th European Workshop on Materials for Advanced Metallization, MAM 2007, 2007, Bruges, Belgium
Communication dans un congrès hal-00367362v1

Room temperature single-electron operation and fabrication of downscaled self-aligned single-dot memory devices

Xing Tang , N. Reckinger , V. Bayot , Christophe Krzeminski , Emmanuel Dubois
Materials Research Society Spring Meeting, MRS Spring 2006, 2006, San Francisco, CA, United States
Communication dans un congrès hal-00244026v1

Iridium silicide : a promising electrode for metallic source/drain in decananometer MOSFETs

G. Larrieu , Emmanuel Dubois , X. Wallart , J. Katcki
2006, pp.123
Communication dans un congrès hal-00138682v1

40 nm PtSi-based Schottky-barrier p-MOSFETs with a midgap tungsten gate

Emmanuel Dubois , G. Larrieu
7th Symposium Diagnostics & Yield Advanced Silicon Devices and Technologies for ULSI Era, 2006, Warsaw, Poland
Communication dans un congrès hal-00138697v1

Influence of gate offset spacer width on SOI MOSFETs HF properties

R. Valentin , A. Siligaris , G. Pailloncy , Emmanuel Dubois , Gilles Dambrine
2006, pp.77-80
Communication dans un congrès hal-00126800v1

Fabrication and analysis of CMOS fully-compatible high conductance impact-ionization MOS (I-MOS) transistors

C. Charbuillet , Emmanuel Dubois , S. Monfray , P. Bouillon , T. Skotnicki
2006, pp.299-302
Communication dans un congrès hal-00138680v1

Large optimisation of source/drain architecture in double gate CMOS using combined static and transient analysis

Christophe Krzeminski , Emmanuel Dubois
Materials Research Society Spring Meeting, MRS Spring 2006, Transistor Scaling - Methods, Materials, and Modeling, 2006, Moscone West, San-Franscico, CA, United States
Communication dans un congrès hal-00138694v1

High current drive in ultra-short impact ionization MOS (I-MOS) devices

C. Charbuillet , S. Monfray , Emmanuel Dubois , P. Bouillon , T. Skotnicki
2006, paper 6.2
Communication dans un congrès hal-00138690v1

Integration and performance of Schottky junction SOI devices

Emmanuel Dubois , G. Larrieu
6th International Workshop on Junction Technology, IWJT-2006, 2006, Shanghai, China
Communication dans un congrès hal-00138707v1

TEM study of PtSi contact layers for accumulated low Schottky barrier MOSFET

A. Łaszcz , J. Katcki , J. Ratajczak , A. Czerwinski , N. Breil
2006, pp.U/PI.24
Communication dans un congrès hal-00138674v1

Transmission electron microscopy study of erbium silicide formation using a Pt/Er stack on a thin silicon-on-insulator substrate

A. Łaszcz , J. Katcki , J. Ratajczak , Xing Tang , Emmanuel Dubois
Proceedings of the XII International Conference on Electron Microscopy of Solids, EM'2005, 2005, Kazimierz Dolny, Poland
Communication dans un congrès hal-00139246v1

Optimisation of HSQ e-beam lithography for the patterning of FinFET transistors

F. Fruleux , J. Penaud , Emmanuel Dubois , M. Francois , M. Muller
2005, pp.9C01
Communication dans un congrès hal-00138404v1

An optimal high contrast e-beam lithography process for the patterning of dense fin networks

F. Fruleux , J. Penaud , Emmanuel Dubois , M. Francois , M. Muller
2005, pp.A/PII.01
Communication dans un congrès hal-00138401v1

Transformation of hydrogen silsexquioxane properties with RIE plasma treatment for advanced multiple-gate MOSFETs

J. Penaud , F. Fruleux , Emmanuel Dubois
2005, pp.P-P.15
Communication dans un congrès hal-00138399v1

Recent advances in metallic source-drain engineering

J. Knock , Emmanuel Dubois , G. Larrieu , Xing Tang , N. Recklinger
SINANO Workshop, 2005, Grenoble, France
Communication dans un congrès hal-00138411v1

Schottky-barrier source-drain architecture for ultimate CMOS

Emmanuel Dubois , G. Larrieu , N. Breil , Xing Tang , N. Recklinger
SINANO Workshop, 2005, Grenoble, France
Communication dans un congrès hal-00138409v1

Impact of large angle tilt implantation on the threshold voltages of LDMOS transistor on SOI

H. Xu , E. Lampin , Emmanuel Dubois , F. Murray , S. Bardy
2005, pp.D-VI.08
Communication dans un congrès hal-00138400v1

TEM characterisation of accumulation low Schottky barrier MOSFET with PtSi contacts

A. Laszcz , J. Katcki , J. Ratajczak , A. Czerwinski , Emmanuel Dubois
School on Materials Science and Electron Microscopy, Microscopy of Tomorrow's Industrial Materials, 2005, Berlin, Germany
Communication dans un congrès hal-00138408v1

Self-aligned single-electron memories SASEM project (IST-2001-32674)

Xing Tang , N. Reckinger , V. Bayot , Emmanuel Dubois , Christophe Krzeminski
15th NID Workshop - Plenary Meeting, 2005, Madrid, Spain
Communication dans un congrès hal-00138410v1
Image document

Optimisation and Simulation of an Alternative nano-flash Memory: the SASEM device

Christophe Krzeminski , Emmanuel Dubois , Xiaohui Tang , Nicolas Reckinger , André Crahay
Materials Research Society Fall Meeting, Nov 2004, Boston, United States. pp.D1.6.1
Communication dans un congrès hal-00603411v1

Optimisation and simulation of an alternative nano-flash memory : the SASEM device

Christophe Krzeminski , Emmanuel Dubois , Xing Tang , N. Reckinger , A. Crahay
2004, pp.45-50
Communication dans un congrès hal-00140991v1

Transmission electron microscopy of silicides used in ALSB-SOI MOSFET structure

J. Katcki , J. Ratajczak , A. Laszcz , Emmanuel Dubois , G. Larrieu
2004, pp.479-482
Communication dans un congrès hal-00147750v1

Advanced and nanometric MOSFET architecture, multiple gate devices and Pi gates

J. Penaud , F. Fruleux , Emmanuel Dubois , G. Larrieu
MIGAS International Summer School on Advanced Microelectronics, MIGAS'04, 2004, Autrans, France
Communication dans un congrès hal-00140995v1

Integration of Schottky source/drain in advanced MOS technology : the SODAMOS project

Emmanuel Dubois , Christophe Krzeminski , Guilhem Larrieu , Xavier Baie , Xing Tang
13th Melari/NID Workshop, 2004, Athens, Greece
Communication dans un congrès hal-00141008v1

FinFET achievement : optimum e-beam lithography to etch dense silicon fins networks

F. Fruleux , J. Penaud , Emmanuel Dubois , G. Larrieu
MIGAS International Summer School on Advanced Microelectronics, MIGAS'04, 2004, Autrans, France
Communication dans un congrès hal-00140996v1

Transmission Electron Microscopy analysis of MOSFET structures

A. Laszcz , J. Katcki , J. Ratajczak , Emmanuel Dubois , G. Larrieu
School on Materials Science and Electron Microscopy, Emerging Microscopy for Advanced Materials Development-Imaging and Spectroscopy on Atomic Scale, 2004, Berlin, Germany
Communication dans un congrès hal-00140997v1

Simulation et optimisation d'une mémoire flash nanométrique

Christophe Krzeminski , Emmanuel Dubois , Xing Tang , N. Reckinger , A. Crahay
Journées Nationales Nanoélectronique, 2004, Aussois, France
Communication dans un congrès hal-00140993v1

Intégration de source/drain Schottky en technologie MOS décananométrique

Emmanuel Dubois , G. Larrieu
Journée Club EEA : Electronique des Dispositifs Ultimes et Innovants, 2004, Fuveau, France
Communication dans un congrès hal-00141009v1

Transistor MOSFET Schottky en régime nanométrique

G. Larrieu , Emmanuel Dubois
Journées Nationales Nanoélectronique, 2004, Aussois, France
Communication dans un congrès hal-00140994v1

Electron microscopy analysis of MOSFET structures

J. Katcki , J. Ratajczak , A. Laszcz , F. Phillipp , Emmanuel Dubois
IEEE 6th Symposium Diagnostics and Yield 2003, Jun 2003, Warsaw, Poland. pp.67-70
Communication dans un congrès hal-00146402v1

Transmission electron microscopy analysis of silicides used in ALSB-SOI MOSFET structures

J. Katcki , J. Ratajczak , A. Laszcz , F. Phillipp , Emmanuel Dubois
Conference on Microscopy of Semiconducting Materials, Mar 2003, Cambridge, United Kingdom. pp.479-482, ⟨10.1201/9781351074636-110⟩
Communication dans un congrès hal-00250182v1

Transmission electron microscopy of iridium silicide contacts for advanced MOSFET structures with Schottky source and drain

A. Laszcz , J. Katcki , J. Ratajczak , G. Larrieu , Emmanuel Dubois
European Materials Research Society Fall Meeting, 2003, Warsaw, Poland
Communication dans un congrès hal-00146424v1

Very low Schottky barrier to n-type silicon with PtEr-stack silicide

Xing Tang , J. Katcki , Emmanuel Dubois , J. Ratajczak , G. Larrieu
2003, pp.99-104
Communication dans un congrès hal-00146416v1

Performance of Pt-based low Schottky barrier silicide contacts on weakly doped silicon

G. Larrieu , Emmanuel Dubois , X. Wallart
2003, pp.D791-D796
Communication dans un congrès hal-00146405v1

New Schottky source/drain architectures

Emmanuel Dubois
Workshop Micro et Nanoélectronique, 2003, Crolles, France
Communication dans un congrès hal-00146417v1

Low Schottky barrier source/drain for advanced MOS architecture : device, design and material consideration

Emmanuel Dubois , G. Larrieu
2001, pp.53-56
Communication dans un congrès hal-00152233v1

Advanced source/drain architecture using very low Schottky barriers : device design and material engineering

Emmanuel Dubois , G. Larrieu
2001, pp.203-206
Communication dans un congrès hal-00152202v1

Silicon nanoelectronics

Emmanuel Dubois
Micro and Nano Workshop, 2001, Louvain La Neuve, Belgium
Communication dans un congrès hal-00152236v1

Power LDMOS design using an SOI RESURF architecture : on state / breakdown voltage trade-off

Emmanuel Dubois , K. Suzuki , E. Lampin
Franco-Swedish Workshop on SOI, 2001, Grenoble, France
Communication dans un congrès hal-00152238v1

Advanced Source/Drain Architecture using Very Low Schottky Barriers: Device Design and Material Engineering

Emmanuel Dubois , G. Larrieu
31st European Solid-State Device Research Conference, Sep 2001, Nuremberg, Germany. pp.203-206, ⟨10.1109/ESSDERC.2001.195236⟩
Communication dans un congrès hal-04248570v1

Low Schottky barrier source/drain for advanced MOS architecture

Emmanuel Dubois , G. Larrieu
Franco-Swedish Workshop on SOI, 2001, Grenoble, France
Communication dans un congrès hal-00152239v1

Mémoires électroniques du futur : évolution de la microélectronique silicium

Emmanuel Dubois
Fête de la Science, 2000, Lille, France
Communication dans un congrès hal-00158535v1

Intégration silicium à l'IEMN : de l'architecture de composants aux circuits radiofréquences

Emmanuel Dubois , V. Senez , Gilles Dambrine , A. Kaiser
Journée Thématique de l'ANRT sur l'Intégration, 2000, Paris, France
Communication dans un congrès hal-00158505v1

Modeling local anodic oxidation induced by near field microscopy

Emmanuel Dubois , Jean-Luc Bubendorff
Proc. of the 10th workshop on dielectrics in microelectronics, Nov 1999, Barcelona, Spain
Communication dans un congrès hal-04249205v1

Nano-lithography by SPM-induced oxidation: role of space charge in the kinetics of oxide growth

Emmanuel Dubois , Jean-Luc Bubendorff
Proc. of the International Semiconductor Device Research Symposium ISDRS’99, Dec 1999, Charlottesville, United States
Communication dans un congrès hal-04249195v1

Nanometer scale Lithography on Silicon, Titanium and PMMA resist

Emmanuel Dubois , Jean-Luc Bubendorff
E-MRS Materials and Processes for Submicron Technologies, vol. 89, p. 1085-1089, Editors J.M. Martinez- Duart, R. Madar, R.A. Levy, 1999, 1999, Strasbourg, France
Communication dans un congrès hal-04249216v1

Impact of non-equilibrium transport and series resistances in 0.1um bulk and SOI MOSFETs

Bricout Paul-Henri , Emmanuel Augendre , Emmanuel Dubois
27th European Solid-State Device Research Conference, Sep 1997, Stuttgart (Germany), Germany
Communication dans un congrès hal-04248535v1

SPM-based lithography techniques using selective anodic oxidation

Emmanuel Dubois , Paul-Aymeric Fontaine , Didier Stiévenard
Proc. of Phantoms Strategic Domain Meetings PHASDOM’97, Mar 1997, Aachen, Germany
Communication dans un congrès hal-04249072v1

Nanometer Scale Lithography of Silicon and Titanium using Scanning Probe Microscopy

Emmanuel Dubois , Paul-Aymeric Fontaine
27th European Solid-State Device Research Conference - ESSDERC, Sep 1997, Stuttgart, Germany
Communication dans un congrès hal-04248550v1

Field Induced Oxidation of (100) Silicon Surfaces with coated AFM tip: a quantitative study

Didier Stiévenard , Paul-Aymeric Fontaine , Emmanuel Dubois
Proceedings of the 9th International Conference on Scanning Tunneling Microscopy, STM’97, Jul 1997, Hamburg, Germany
Communication dans un congrès hal-04249069v1

Anodic Oxidation of Silicon and Titanium based on Near Field Microscopy

Emmanuel Dubois , Paul-Aymeric Fontaine
Proceedings of the International Conference on Solid State Devices and Materials, SSDM’97, Jul 1997, Hamamatsu, Japan
Communication dans un congrès hal-04249063v1

Nanooxidation of Silicon Surfaces with a Scanning Probe Microscope: Application to the Conception of Nanodevices

Didier Stiévenard , Paul-Aymeric Fontaine , Emmanuel Dubois , Bruno Grandidier
Proceed¬ings of the N2M’97 workshop, 1997, Tokyo, Japan
Communication dans un congrès hal-04249224v1

Nano-Lithography based on Silicon Oxidation using Scanning Probe Techniques

Paul-Aymeric Fontaine , Emmanuel Dubois , Didier Stiévenard
IEEE Silicon Nanoelectronics Workshop (SNW), Jun 1997, Kyoto, Japan
Communication dans un congrès hal-04248467v1

Simulations and measurements of cross-talk phenomena in BiCMOS technology for hard disk drives

G. de Cremoux , Emmanuel Dubois , S. Bardy , J. Lebailly
International Electron Devices Meeting. Technical Digest, Dec 1996, San Francisco, United States. pp.481-484, ⟨10.1109/IEDM.1996.553631⟩
Communication dans un congrès hal-04248420v1

Nano-Lithography by Scanning Probe Microscopy

Paul-Aymeric Fontaine , Emmanuel Dubois , Didier Stiévenard
Proc. of the Third European Workshop on Electrochemical Processing of Semiconductors EWEPS’96, Nov 1996, Paris, France
Communication dans un congrès hal-04249080v1

Non-quasi-static SOI MOSFET model dedicated for analog circuit design

E. Robilliart , Emmanuel Dubois
1996 IEEE International SOI Conference Proceedings, Oct 1996, Sanibel Island, United States. pp.13-14, ⟨10.1109/SOI.1996.552470⟩
Communication dans un congrès hal-04249085v1

A New Non-Quasi-Static SOI MOSFET Model Dedicated to Analog Circuit Simulation

Emmanuel Dubois
ESSDERC '96: Proceedings of the 26th European Solid State Device Research Conference, Sep 1996, Bologna (ITALY), Italy
Communication dans un congrès hal-04248412v1

Accuracy and Convergence Properties of a One-Dimensional Numerical Non-Quasi-Static MOSFET’s Model for Circuit Simulation

E. Robilliart , Emmanuel Dubois
Proceedings of the International Conference on Simulation of Semiconductor Processes and Devices (SISPAD), Sep 1996, Tokyo, Japan. pp.179-180, ⟨10.1109/SISPAD.1996.865328⟩
Communication dans un congrès hal-04249094v1

Nano-Lithography on Silicon Surfaces with an SPM Operating in Air

Didier Stiévenard , Paul-Aymeric Fontaine , Emmanuel Dubois
Proc. of the Third European Workshop on Electrochemical Processing of Semiconduc¬tors EWEPS’96, Nov 1996, Paris, France
Communication dans un congrès hal-04249076v1

Physical MOSFET’s model for analog circuit design: application to cur¬rent copier based architectures

Emmanuel Dubois , E. Robilliart
Proc. of the International Symposium on Circuits and Systems IEEE- ISCAS’96, May 1996, Atlanta (GA), United States
Communication dans un congrès hal-04249107v1

Second and third order one-dimensional non-quasi-static bipolar transistor models

E. Robilliart , Emmanuel Dubois
Proc. of the International Semiconductor Device Research Symposium ISDRS’95, Dec 1995, Charlottesville, United States
Communication dans un congrès hal-04249098v1

Efficient non-quasi-static MOSFETs model for circuit simulation

Emmanuel Dubois , E. Robilliart
International Electron Devices Meeting, Dec 1995, Washington, United States. pp.945-948, ⟨10.1109/IEDM.1995.499372⟩
Communication dans un congrès hal-04248390v1

Analysis of the charge injection in MOS analog switches using physical models

E. Robilliart , Emmanuel Dubois
Proc. of the International Semiconductor Device Research Symposium ISDRS’95, Dec 1995, Charlottesville, United States
Communication dans un congrès hal-04249104v1

Series Resistances of Polysilicon Emitter Bipolar Transistors: Simulation and Measurement

Emmanuel Dubois , Bricout Paul-Henri , E. Robilliart
ESSDERC '94: 24th European Solid State Device Research Conference, Sep 1994, Edinburg, United Kingdom
Communication dans un congrès hal-04248378v1

Short channel immunity and current drive capabilities of recessed mosfets in the sub-50 mn regime

Emmanuel Dubois , P.H. Bricout
52nd Annual Device Research Conference, Jun 1994, Boulder, United States. pp.85-86, ⟨10.1109/DRC.1994.1009426⟩
Communication dans un congrès hal-04248364v1

Accuracy of series resistances extraction schemes for polysilicon bipolar transistors

Emmanuel Dubois , P.-H. Bricout , E. Robilliart
IEEE Bipolar/BiCMOS Circuits and Technology Meeting, Oct 1994, Minneapolis, United States. pp.148-151, ⟨10.1109/BIPOL.1994.587883⟩
Communication dans un congrès hal-04248381v1

Efficient scaling of MOSFETS in the 50 nm regime using a recessed channel

Emmanuel Dubois , Bricout Paul-Henri
International Symposium Semiconductor Device Research (ISDRS), Dec 1993, Charlottesville (Virginia), United States
Communication dans un congrès hal-04248357v1

Transport simulation in submicron MOSFETS by coupling Monte Carlo and Drift Diffusion

Bricout Paul-Henri , Emmanuel Dubois , Renaud Fauquembergue
Proc. of Nasecode IX, Apr 1993, Copper Mountain, United States
Communication dans un congrès hal-04249113v1

Simulation of non-equilibrium transport in deep submicron MOSFETs

Bricout Paul-Henri , Emmanuel Dubois , Renaud Fauquembergue
ESSDERC '93: 23rd European solid State Device Research Conference, Sep 1993, Grenoble, France
Communication dans un congrès hal-04248343v1

Efficient numerical procedure for the impurity segregation during oxidation of arbitrarily shaped multilayer structure

Dominique Collard , B. Baccus , Emmanuel Dubois
Proc. of the NASECODE VII conference, 1991, Boulder, United States
Communication dans un congrès hal-04249125v1

Efficient simulation of impurity segregation during oxidation of arbitrarily shaped multilayer structures

Dominique Collard , B. Baccus , Emmanuel Dubois
Proc. of the SISDEP’91, Sep 1991, Zurich, Switzerland
Communication dans un congrès hal-04249136v1

Monte Carlo/drift-diffusion coupling: non-equilibrium transport in deep submicron MOSFETs

Emmanuel Dubois , P.H. Bricout , R. Fauquembergue , D. Collard
International Electron Devices Meeting 1991 [Technical Digest], Dec 1991, Washington, United States. pp.519-522, ⟨10.1109/IEDM.1991.235342⟩
Communication dans un congrès hal-04248322v1

Determination of carriers capture cross-section of Si/SiO2 interface states by means of process/device simulations

Emmanuel Dubois
Proc. of the SISDEP’91, Sep 1991, Zurich, Switzerland
Communication dans un congrès hal-04249119v1

Piezoresistivity effects in N-MOSFET devices

Z.Z. Wang , J. Suski , D. Collard , Emmanuel Dubois
TRANSDUCERS '91: 1991 International Conference on Solid-State Sensors and Actuators. Digest of Technical Papers, Jun 1991, San Francisco, United States. pp.1024-1027, ⟨10.1109/SENSOR.1991.149069⟩
Communication dans un congrès hal-04248291v1

Surface recombination in bipolar transistor

Emmanuel Dubois
Proc. of the Bipolar Circuit and Technology Meeting BCTM’91, Sep 1991, Minneapolis, United States
Communication dans un congrès hal-04249131v1

Adaptive Mesh Refinement For Multilayer Process Simulation

B. Baccus , D. Collard , Emmanuel Dubois
NUPAD III: Workshop on Numerical Modeling of Processes and Devices for Integrated Circuits, Jun 1990, Honolulu, United States. pp.87-88, ⟨10.1109/NUPAD.1990.748290⟩
Communication dans un congrès hal-04248241v1

Accurate two-dimensional process-device simulations for the analysis of punchthrough in walled emitter bipolar transistor

Emmanuel Dubois , B. Baccus , Dominique Collard
Proc. of NASECODE VI conference, Ed. J.J.H. Miller, Boole Press, Jun 1989, Dublin, Ireland
Communication dans un congrès hal-04249158v1

Dynamic mesh refinement for multilayer process simulation

B. Baccus , Dominique Collard , Emmanuel Dubois
Digest of the software forum: Software toold for process, device and circuit modeling, Ed. W. Crans, Boole Press, Jun 1989, Zurich, Switzerland
Communication dans un congrès hal-04249146v1

IMPACT 1-2-3, an integrated 2D process/device simulator for MOS technology

Dominique Collard , Emmanuel Dubois , B. Baccus
Digest of the software forum: Software toold for process, device and circuit modeling, Ed. W. Crans, Boole Press, Jun 1989, Zurich, Switzerland
Communication dans un congrès hal-04249154v1

Analysis of the Punchthrough Effect in Walled Emitter Bipolar Transistors

Emmanuel Dubois
ESSDERC '89: 19th European Solid State Device Research Conference, Sep 1989, Berlin (DE), Germany. ⟨10.1007/978-3-642-52314-4_166⟩
Communication dans un congrès hal-04248227v1

Two-dimensional process simulation of bipolar devices using a multi-layer simulator: IMPACT-4’

B. Baccus , Dominique Collard , Emmanuel Dubois , Denis Morel
Proc. of the Bipolar Circuits and Technology Meeting, BCTM, Sep 1988, Minneapolis, United States
Communication dans un congrès hal-04249168v1

Electrical performances evaluation of isolation structures by coupled process and device simulation

Emmanuel Dubois , Jean-Louis Coppée , B. Baccus , Dominique Collard
Simulation of Semiconductor Devices and Processes, Ed. G. Baccarani and M. Rudan, Sep 1988, Bologna, Italy
Communication dans un congrès hal-04249174v1

IMPACT-4, a general two-dimensional multi- layer process simulator

B. Baccus , Dominique Collard , Emmanuel Dubois , Denis Morel
Simulation of Semiconductor Devices and Processes, Ed. G. Baccarani and M. Rudan, Sep 1988, Bologna, Italy
Communication dans un congrès hal-04249164v1

Micromachining and Packaging of Smart Probes for Sub-mmW on-Wafer Measurements

Maya Alawar , Victor Fiorese , Daniel Gloria , Guillaume Ducournau , Emmanuel Dubois
Journées Acoustique & Instrumentation, JAI2023 : evolution et applications, Journées Thématiques de la section Electronique du Club EEA, Oct 2023, Cergy - Paris, France
Poster de conférence hal-04254742v1
Image document

Thermal design of PCM-RF Switches for 5G and beyond

Corentin Mercier , Gregory Tardy , Daniel Gheysens , Stéphane Monfray , Alain Fleury
1ères Journées Scientifiques du GDR CHALCO, Jun 2022, Dijon, France. , 2022
Poster de conférence cea-04528379v1

Nanometer-scale active thermal devices for thermal microscopy probe calibration

Jun Yin , Tianqi Zhu , Di Zhou , Thierno-Moussa Bah , Stanislav Didenko
16èmes Journées de La Matière Condensée (JMC2018), Aug 2018, Grenoble, France. pp.513-513
Poster de conférence hal-02023911v1

Invariance of DC and RF characteristics of mechanically flexible CMOS technology on plastic'

Emmanuel Dubois , Aurelien Lecavelier Des Etangs-Levallois , Justine Philippe , Sylvie Lepilliet , Yoann Tagro
Functional nanomaterials and devices for electronics, sensors and energy harvesting, 2014
Chapitre d'ouvrage hal-04249256v1

Schottky source/drain MOSFETs

Emmanuel Dubois , Florent Ravaux , Zhenkun Chen , Nicolas Reckinger , Xiaohui Tang
Deleonibus S. Intelligent integrated systems : technologies, devices and architectures, Pan Stanford Publishing, section 1, chapter 2, 55-96, 2013, 978-9-8144-1142-4
Chapitre d'ouvrage hal-00878461v1

Confined and guided vapor-liquid-solid catalytic growth of silicon nanoribbons : from nanowires to structured silicon-on-insulator layers

Aurélie Lecestre , Emmanuel Dubois , A. Villaret , T. Skotnicki , P. Coronel
Nazarov A., Colinge J.P., Balestra F., Raskin J.P., Gamiz F., Lysenko V.S. Semiconductor-on-insulator materials for nanoelectronics applications, Springer Berlin Heidelberg, pp.Part 1, 67-89, 2011, Collection : Chemistry and materials science, Series : Engineering materials, ⟨10.1007/978-3-642-15868-1_4⟩
Chapitre d'ouvrage hal-00591734v1

Introduction to Schottky-barrier MOS architectures : concept, challenges, material engineering and device integration

Emmanuel Dubois , G. Larrieu , R. Valentin , N. Breil , Francois Danneville
Balestra F. Nanoscale CMOS : innovative materials, modeling and characterization, ISTE-WILEY, Chapitre 5, 157-204, 2010
Chapitre d'ouvrage hal-00575852v1

Les nanotechnologies

Emmanuel Dubois
Encyclopédie KLEIO, Larousse-Havas, pp.CD-ROM, 2001
Chapitre d'ouvrage hal-00132071v1

Présentation de synthèse sur 20 ans d'activité du Laboratoire Commun ST-IEMN

Emmanuel Dubois
Institut d'Electronique de Microélectronique et de Nanotechnologie - IEMN UMR 8520; STMicroelectronics. 2024
Rapport hal-04538482v1