Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

71 résultats
Image document

Power-aware voltage tuning for STT-MRAM reliability

Elena Ioana Vatajelu , Rosa Rodríguez-Montañés , Stefano Di Carlo , Marco Indaco , Michel Renovell , et al.
ETS: European Test Symposium, May 2015, Cluj-Napoca, Romania. ⟨10.1109/ETS.2015.7138748⟩
Communication dans un congrès lirmm-01922971v1

Fiabilité des architectures neuromorphiques

Elena Ioana Vatajelu
GDR SoC2 Journée Thématique: Sécurité, fiabilité et test des SoC 2 : challenges et opportunités dans l’ère de l’IA, May 2019, Paris, France
Communication dans un congrès hal-02506837v1
Image document

On-Line Reliability Estimation of Ring Oscillator PUF

Sergio Vinagrero Gutierrez , Giorgio Di Natale , Elena Ioana Vatajelu
IEEE European Test Symposium (ETS 2022), May 2022, Barcelona, Spain. ⟨10.1109/ETS54262.2022.9810418⟩
Communication dans un congrès hal-03767650v1

State of the art and challenges for test and reliability of emerging nonvolatile resistive memories

Elena Ioana Vatajelu , P. Pouyan , S. Hamdioui
International Journal of Circuit Theory and Applications, 2017, 46 (1), pp.4-28. ⟨10.1002/cta.2418⟩
Article dans une revue hal-01962179v1
Image document

On-Line Method to Limit Unreliability and Bit-Aliasing in RO-PUF

Sergio Vinagrero Gutierrez , Giorgio Di Natale , Ioana Vatajelu
IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), Jul 2023, Crete, Greece. ⟨10.1109/IOLTS59296.2023.10224877⟩
Communication dans un congrès hal-04193294v1
Image document

Experimental Evaluation of Delayed-Based Detectors Against Power-off Attack

Maryam Esmaeilian , Aghiles Douadi , Zahra Kazemi , Vincent Beroulle , Mirbaha Amir-Pasha , et al.
IEEE 29th International Symposium on On-Line Testing and Robust System Design (IOLTS 2023), Jul 2023, Crete, Greece. ⟨10.1109/IOLTS59296.2023.10224876⟩
Communication dans un congrès hal-04193277v1
Image document

Synaptic Control for Hardware Implementation of Spike Timing Dependent Plasticity

Salah Daddinounou , Elena Ioana Vatajelu
2022 25th International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS), Apr 2022, Prague, Czech Republic. pp.106-111, ⟨10.1109/DDECS54261.2022.9770171⟩
Communication dans un congrès hal-03766764v1

Neuromorphic Computing - From Robust Hardware Architectures to Testing Strategies

Lorena Anghel , Giorgio Di Natale , Benoit Miramond , Elena Ioana Vatajelu , E. Vianello
26th IFIP IEEE International Conference on Very Large Scale Integration (VLSI SOC 2018), Oct 2018, Verona, Italy. pp.176-179, ⟨10.1109/VLSI-SoC.2018.8644897⟩
Communication dans un congrès hal-01961756v1

Test and Reliability in Approximate Computing

Lorena Anghel , Mounir Benabdenbi , Alberto Bosio , Marcello Traiola , Elena Ioana Vatajelu
Journal of Electronic Testing: : Theory and Applications, 2018, 34 (4), pp.375-387. ⟨10.1007/s10836-018-5734-9⟩
Article dans une revue hal-01961787v1
Image document

Open Automation Framework for Complex Parametric Electrical Simulations

Sergio Vinagrero Gutierrez , Pietro Inglese , Giorgio Di Natale , Ioana Vatajelu
International Symposium on Design and Diagnostics of Electronic Circuits and Systems (DDECS 2023), IEEE, May 2023, Tallinn, Estonia
Communication dans un congrès hal-04103996v1
Image document

Rebooting Computing: The Challenges for Test and Reliability

Alberto Bosio , Ian O'Connor , G. Rodrigues , F. Lima , Elena Ioana Vatajelu , et al.
2019 IEEE International Symposium on Defect and Fault Tolerance in VLSI and Nanotechnology Systems (DFT), Oct 2019, Noordwijk, Netherlands. pp.8138-8143, ⟨10.1109/DFT.2019.8875270⟩
Communication dans un congrès hal-02462194v1
Image document

Resistive and spintronic RAMs: device, simulation, and applications

Elena Ioana Vatajelu , Lorena Anghel , Jean-Michel Portal , Marc Bocquet , Guillaume Prenat
IOLTS 2018 - IEEE 24th International Symposium on On-Line Testing And Robust System Design, Jul 2018, Platja d'Aro, Spain. pp.109-114, ⟨10.1109/IOLTS.2018.8474226⟩
Communication dans un congrès hal-01976583v1

Mitigating Read & Write Errors in STT-MRAM Memories under DVS

Elena Ioana Vatajelu , Rosa Rodríguez-Montañés , Michel Renovell , Joan Figueras
ETS: European Test Symposium, May 2017, Limassol, Cyprus. ⟨10.1109/ETS.2017.7968209⟩
Communication dans un congrès hal-01525720v1
Image document

On the Limitations of Concatenating Boolean Operations in Memristive-Based Logic-In-Memory Solutions

Pietro Inglese , Elena Ioana Vatajelu , Giorgio Di Natale
16th International Conference on Design & Technology of Integrated Systems in Nanoscale Era (DTIS 2021), Jun 2021, Apulia (virtuelle), Italy. ⟨10.1109/DTIS53253.2021.9505096⟩
Communication dans un congrès hal-03351924v1

Test and reliability in approximate computing

Lorena Anghel , Mounir Benabdenbi , Alberto Bosio , Elena Ioana Vatajelu
IMSTW: International Mixed-Signal Testing Workshop, Jul 2017, Thessaloniki, Greece. ⟨10.1109/IMS3TW.2017.7995210⟩
Communication dans un congrès hal-01702768v1
Image document

IEEE European Test Symposium (ETS)

S. Eggersglüss , S. Hamdioui , A. Jutman , M.K. Michael , J. Raik , et al.
IEEE International Test Conference (ITC'2019), Nov 2019, Washington DC, United States. pp.1-4, ⟨10.1109/ITC44170.2019.9000148⟩
Communication dans un congrès hal-02506911v1
Image document

Special Session: Neuromorphic hardware design and reliability from traditional CMOS to emerging technologies

Fabio Pavanello , Ioana Vatajelu , Alberto Bosio , Thomas van Vaerenbergh , Peter Bienstman , et al.
IEEE VLSI Test Symposium (VTS 2023), IEEE, Apr 2023, San Diego, CA, United States. ⟨10.1109/VTS56346.2023.10139932⟩
Communication dans un congrès hal-04103973v1
Image document

Memristive Logic-in-Memory Implementations: A Comparison

Pietro Inglese , Elena Ioana Vatajelu , Giorgio Di Natale
16th International Conference on PRIME, Jul 2021, Online, Germany
Communication dans un congrès hal-03370877v1

Analogue Memristive Devices based on La2NiO4+δas Synapses for Spiking Neural Networks

Thoai‐khanh Khuu , Aleksandra Koroleva , Arnaud Degreze , Ioana Vatajelu , Gauthier Lefèvre , et al.
Journal of Physics D: Applied Physics, 2023, ⟨10.1088/1361-6463/ad1016⟩
Article dans une revue hal-04316537v1
Image document

Non-Invasive Attack on Ring Oscillator-based PUFs through Localized X-Ray Irradiation

Nasr-Eddine Ouldei Tebina , Aghiles Douadi , Luc Salvo , Vincent Beroulle , Nacer-Eddine Zergainoh , et al.
IEEE International Symposium on Hardware Oriented Security and Trust (HOST 2024), May 2024, Washington, DC, United States
Communication dans un congrès hal-04521587v1

SEcube™: An open-source security platform in a single SoC

Antonio Varriale , Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto , Pascal Trotta , et al.
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Apr 2016, Istanbaul, Turkey. ⟨10.1109/DTIS.2016.7483810⟩
Communication dans un congrès lirmm-01444711v1

Security primitives (PUF and TRNG) with STT-MRAM

Elena Ioana Vatajelu , Giorgio Di Natale , Paolo Prinetto
VTS: VLSI Test Symposium, Apr 2016, Las Vegas, United States. ⟨10.1109/VTS.2016.7477292⟩
Communication dans un congrès lirmm-01374573v1

Analyzing the effect of concurrent variability in the core cells and sense amplifiers on SRAM read access failures

Elena Ioana Vatajelu , Alberto Bosio , Luigi Dilillo , Patrick Girard , Aida Todri-Sanial , et al.
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Mar 2013, Abu Dhabi, United Arab Emirates. pp.39-44, ⟨10.1109/DTIS.2013.6527775⟩
Communication dans un congrès lirmm-01248603v1

Guest Editorial: Computation-In-Memory (CIM): from Device to Applications

Said Hamdioui , Elena Ioana Vatajelu , Alberto Bosio
ACM Journal on Emerging Technologies in Computing Systems, 2021, 18 (2), pp.1-3. ⟨10.1145/3503263⟩
Article dans une revue hal-04139263v1

Special Session: Reliability of Hardware-Implemented Spiking Neural Networks (SNN)

Elena Ioana Vatajelu , Giorgio Di Natale , Lorena Anghel
IEEE VLSI Test Symposium (VTS 2019), Apr 2019, Monterey, United States
Communication dans un congrès hal-02166904v1

Challenges and Solutions in Emerging Memory Testing

Elena Ioana Vatajelu , P. Prinetto , M. Taouil , S. Hamdioui
IEEE Transactions on Emerging Topics in Computing, 2017, PP (99), ⟨10.1109/TETC.2017.2691263⟩
Article dans une revue hal-01528655v1

On the Reliability of the Ring Oscillator Physically Unclonable Functions

Elena Ioana Vatajelu , Giorgio Di Natale , O. Keren , Honorio Martin
IEEE 4th International Verification and Security Workshop (IVSW'2019), Jul 2019, Rhodes Island, Greece. pp.25-30
Communication dans un congrès hal-02506955v1

High-Entropy STT-MTJ-based TRNG

Elena Ioana Vatajelu , Giorgio Di Natale
8th Workshop on Trustworthy Manufacturing and Utilization of Secure Devices (TRUDEVICE'2019), May 2019, Baden Baden, Germany
Communication dans un congrès hal-02506736v1

High-Entropy STT-MTJ-based TRNG

Elena Ioana Vatajelu , Giorgio Di Natale
IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2019, ⟨10.1109/TVLSI.2018.2879439⟩
Article dans une revue hal-01994751v1
Image document

Machine Learning and Hardware security: Challenges and Opportunities

F. Regazzoni , S. Bhasin , Amir Ali Pour , Ihab Alshaer , F. Aydin , et al.
International Conference on Computer-Aided Design (ICCAD 2020), Nov 2020, San Diego, United States
Communication dans un congrès hal-02999327v1