Accéder directement au contenu

David Novo

10
Documents
Identifiants chercheurs

Présentation

Publications

929667
Image document

Multi-level Analysis of GPU Utilization in ML Training Workloads

Paul Delestrac , Debjyoti Bhattacharjee , Simei Yang , Diksha Moolchandani , Francky Catthoor
2024 Design, Automation & Test in Europe Conference (DATE 2024), Mar 2024, Valencia (Espagne), Spain
Communication dans un congrès hal-04523554v1
Image document

Pref-X: a framework to reveal data prefetching in commercial in-order cores

Quentin Huppert , Francky Catthoor , Lionel Torres , David Novo
DAC 2022 - 59th ACM/IEEE Design Automation Conference, Jul 2022, San Francisco, CA, United States. pp.1051-1056, ⟨10.1145/3489517.3530569⟩
Communication dans un congrès lirmm-03767077v1
Image document

Demystifying the TensorFlow Eager Execution of Deep Learning Inference on a CPU-GPU Tandem

Paul Delestrac , Lionel Torres , David Novo
DSD 2022 - 25th Euromicro Conference on Digital System Design, Aug 2022, Maspalomas, Spain. pp.446-455, ⟨10.1109/DSD57027.2022.00066⟩
Communication dans un congrès lirmm-03775613v2
Image document

Memory Hierarchy Calibration Based on Real Hardware In-order Cores for Accurate Simulation

Quentin Huppert , Timon Evenblij , Manu Perumkunnil , Francky Catthoor , Lionel Torres
DATE 2021 - 24th Design, Automation and Test in Europe Conference and Exhibition, Feb 2021, Grenoble (Virtual), France. pp.707-710, ⟨10.23919/DATE51398.2021.9474108⟩
Communication dans un congrès lirmm-03084343v1
Image document

Improving the Performance of STT-MRAM LLC through Enhanced Cache Replacement Policy

Pierre-Yves Péneau , David Novo , Florent Bruguier , Lionel Torres , Gilles Sassatelli
ARCS: Architecture of Computing Systems, Apr 2018, Braunschweig, Germany. pp.168-180, ⟨10.1007/978-3-319-77610-1_13⟩
Communication dans un congrès lirmm-01669254v2
Image document

Main memory organization trade-offs with DRAM and STT-MRAM options based on gem5-NVMain simulation frameworks

Manu Komalan , Oh Hyung Rock , Matthias Hartmann , Sushil Sakhare , Christian Tenllado
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.103-108, ⟨10.23919/DATE.2018.8341987⟩
Communication dans un congrès lirmm-01912824v1
Image document

OpenMP scheduling on ARM big.LITTLE architecture

Anastasiia Butko , Louisa Bessad , David Novo , Florent Bruguier , Abdoulaye Gamatié
MULTIPROG 2016 - 9th International Workshop on Programmability and Architectures for Heterogeneous Multicores, HIPEAC, Jan 2016, Prague, Czech Republic
Communication dans un congrès lirmm-01377630v1
Image document

Full-System Simulation of big.LITTLE Multicore Architecture for Performance and Energy Exploration

Anastasiia Butko , Florent Bruguier , Abdoulaye Gamatié , Gilles Sassatelli , David Novo
MCSoC: Embedded Multicore/Many-core Systems-on-Chip, Sep 2016, Lyon, France. pp.201-208, ⟨10.1109/MCSoC.2016.20⟩
Communication dans un congrès lirmm-01418745v1