Accéder directement au contenu
CD

Catherine Dezan

82
Documents

Présentation

MCF, HDR, dept. Informatique UBO/Lab-STICC, Brest

Publications

Image document

Security and Safety Concerns in Air Taxis: A Systematic Literature Review

Isadora Garcia Ferrão , David Espes , Catherine Dezan , Kalinka Regina Lucas Jaquie Castelo Branco
Sensors, 2022, 22 (18), pp.6875. ⟨10.3390/s22186875⟩
Article dans une revue hal-03841221v1
Image document

Embedded Computation Architectures for Autonomy in Unmanned Aircraft Systems (UAS)

Luis Mejias , Jean-Philippe Diguet , Catherine Dezan , Duncan Campbell , Jonathan Kok
Sensors, 2021, 21 (4), pp.1115. ⟨10.3390/s21041115⟩
Article dans une revue hal-03140570v1
Image document

Embedded Bayesian Network Contribution for a Safe Mission Planning of Autonomous Vehicles

Catherine Dezan , Sara Zermani , Chabha Hireche
Algorithms, 2020, 13 (7), pp.155. ⟨10.3390/a13070155⟩
Article dans une revue hal-02889797v1
Image document

Context/Resource-Aware Mission Planning Based on BNs and Concurrent MDPs for Autonomous UAVs

Chabha Hireche , Catherine Dezan , Stéphane Mocanu , Dominique Heller , Jean-Philippe Diguet
Sensors, 2018, 18 (4266), pp.1-28. ⟨10.3390/s18124266⟩
Article dans une revue hal-01944680v1

Embedded Context Aware Diagnosis for a UAV SoC platform

Sara Zermani , Catherine Dezan , Chabha Hireche , Reinhardt Euler , Jean-Philippe Diguet
Microprocessors and Microsystems: Embedded Hardware Design , 2017, 51, pp.185-197. ⟨10.1016/j.micpro.2017.04.013⟩
Article dans une revue hal-01520122v1

Towards a Framework for Designing Applications onto hybrid nano/CMOS fabrics

Catherine Dezan , Ciprian Teodorov , Loïc Lagadec , Michael Leuchtenburg , Teng Wang
Microelectronics Journal, 2009, 40 (4-5), pp.656-664. ⟨10.1016/j.mejo.2008.07.072⟩
Article dans une revue hal-00379170v1

Fault-Tolerant Nanoscale Processors on Semiconductor Nanowire Grids

Csaba Andras Moritz , Teng Wang , Pritish Narayanan , Michael Leuchtenburg , Yao Guo
IEEE Transactions on Circuits and Systems, 2007, 54 (11), pp.2422-2437
Article dans une revue hal-00169889v1

Synthèse portable pour micro-architectures à grain fin. Application aux turbo-décodeurs et nano-fabriques.

Catherine Dezan , Erwan Fabiani , Christophe Gouyen , Loïc Lagadec , Bernard Pottier
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2006, 25, pp.893-920
Article dans une revue hal-00083721v1

Conception et intégration ďun corrélateur systolique

Catherine Dezan , Eric Gautrin , Patrice Quinton
Annals of Telecommunications - annales des télécommunications, 1991, 46, pp. 69-77
Article dans une revue hal-01863704v1

Anomaly-Based Intrusion Detection System for In-Flight and Network Security in UAV Swarm

Leandro da Silva , Isadora Garcia Ferrão , Catherine Dezan , David Espes , Kalinka Regina Lucas Jaquie Castelo Branco
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Communication dans un congrès hal-04159577v1

Intelligent Diagnosis of Engine Failure in Air Vehicles Using the ALFA Dataset

Isadora Garcia Ferrão , Leandro da Silva , Sherlon da Silva , Catherine Dezan , David Espes
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Communication dans un congrès hal-04159571v1
Image document

Multi-Objective Optimization for an Online Re-Planning of Autonomous Vehicles

Kilian Le Gall , Laurent Lemarchand , Catherine Dezan
9th International Workshop on Safety and Security of Intelligent Vehicles (SSIV at DSN'23), IEEE/IFIP, Jun 2023, Porto, Portugal. ⟨10.1109/DSN-W58399.2023.00029⟩
Communication dans un congrès hal-04143307v1

Online reward adaptation for MDP-based distributed missions

Mohand Hamadouche , Catherine Dezan , David Espes , Kalinka Regina Lucas Jaquie Castelo Branco
International Conference on Unmanned Aircraft Systems (ICUAS’23), Jun 2023, Varsovie, Poland
Communication dans un congrès hal-04159573v1

Online reward adaptation for MDP-based distributed missions

Mohand Hamadouche , Catherine Dezan , David Espes , Kalinka Branco
2023 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2023, Warsaw, Poland. pp.1059-1066, ⟨10.1109/icuas57906.2023.10156131⟩
Communication dans un congrès hal-04144650v1

Smart Anomaly Detection and Monitoring of Industry 4.0 by Drones

William Pensec , David Espes , Catherine Dezan
2022 International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2022, Dubrovnik, France. pp.705-713, ⟨10.1109/ICUAS54217.2022.9836057⟩
Communication dans un congrès hal-03841225v1

Comparison of Value Iteration, Policy Iteration and Q-Learning for solving Decision-Making problems

Mohand Hamadouche , Catherine Dezan , David Espes , Kalinka R L J Castelo Branco
International Conference on Unmanned Aircraft Systems (ICUAS), Jun 2021, Athens, Greece
Communication dans un congrès hal-03269525v1

Reward Tuning for self-adaptive Policy in MDP based Distributed Decision-Making to ensure a Safe Mission Planning

Mohand Hamadouche , Catherine Dezan , Kalinka R L J Castelo Branco
6th International Workshop on Safety and Security Intelligent Vehicle (SSIV), Jun 2020, Valence, Spain
Communication dans un congrès hal-02889793v1

Underwater exploration by AUV using deep neural network implemented on FPGA

Tanguy Le Pennec , Maher Jridi , Catherine Dezan , Franck Florin , Ayman Alfalou
Pattern Recognition and Tracking XXXI, Apr 2020, Online Only, United States. pp.23, ⟨10.1117/12.2558606⟩
Communication dans un congrès hal-02889898v1

STUART: ReSilient archiTecture to dynamically manage Unmanned aeriAl vehicle networks undeR atTack

Isadora Ferrão , Daniel F Pigatto , João Fontes , Natassya Silva , David Espes
8th Workshop on Communications in Critical Embedded Systems (WoCCES), Jul 2020, Rennes, France
Communication dans un congrès hal-02891507v1
Image document

A seamless DFT/FFT self-adaptive architecture for embedded radar applications

Julien Mazuet , Michel Narozny , Catherine Dezan , Jean-Philippe Diguet
The International Conference on Field-Programmable Logic and Applications (FPL), Aug 2020, Gothenburg (virtual ), Sweden. ⟨10.1109/FPL50879.2020.00029⟩
Communication dans un congrès hal-03047989v1

Generation of a Reconfigurable Probabilistic Decision-Making Engine based on Decision Networks: UAV Case Study

Sara Zermani , Catherine Dezan
Workshop on Autonomous Systems Design (ASD/DATE), Mar 2019, Florence, Italy
Communication dans un congrès hal-02089835v1

A Case Study of Primary User Arrival Prediction Using the Energy Detector and the Hidden Markov Model in Cognitive Radio Networks

Guilherme Santana , Rogers Cristo , Jean-Philippe Diguet , Catherine Dezan , Diana Osorio
WoCCES 2019, Jun 2019, Barcelone, Spain
Communication dans un congrès hal-02389059v1

Integrating Operators' Preferences into Decisions of Unmanned Aerial Vehicles: Multi-layer Decision Engine and Incremental Preference Elicitation

Arwa Khannoussi , Alexandru Liviu Olteanu , Christophe Labreuche , Pritesh Narayan , Catherine Dezan
6th International Conference, ADT 2019, Oct 2019, Durham, NC, United States. pp.49-63, ⟨10.1007/978-3-030-31489-7_4⟩
Communication dans un congrès hal-02334090v1
Image document

QoS driven dynamic partial reconfiguration: Tracking case study

Julien Mazuet , Ill-Ham Atchadam , Dominique Heller , Catherine Dezan , Michel Narozny
14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip (ReCoSoC 2019), Jul 2019, York, United Kingdom
Communication dans un congrès hal-02327185v1

Model-Based Dependability Analysis of Unmanned Aerial Vehicles - A Case Study

Matheus Franco , Andre de Oliveira , Rosana Braga , Catherine Dezan , Jean-Philippe Diguet
48th Annual IEEE/IFIP International Conference on Dependable Systems and Networks Workshops (SSIV'18), Jun 2018, Luxembourg, Luxembourg
Communication dans un congrès hal-01843133v1
Image document

Apprentissage par projets à l'école primaire avec les filles qui. . .

Cassandra Balland , Esther Bernard , Morgane Coat , Isabelle Fouqué , Louise Hergoualc 'H
RJC-EIAH 2018 - Atelier "Organisation et suivi des activités d’apprentissage de l’informatique : outils, modèles et expériences", Apr 2018, Besançon, France
Communication dans un congrès hal-01756178v1

Incremental preference elicitation for SRMP models: Application for autonomous drones

Arwa Khannoussi , Alexandru Liviu Olteanu , Catherine Dezan , Jean-Philippe Diguet , Patrick Meyer
88th Meeting of the EURO Working Group Multiple Criteria Decision Aiding, Sep 2018, Lisbonne, Portugal
Communication dans un congrès hal-01886972v1

Incremental Learning of Simple Ranking Method Using Reference Profiles Models

Arwa Khannoussi , Alexandru-Liviu Olteanu , Catherine Dezan , Jean-Philippe Diguet , Christophe Labreuche
DA2PL'2018: from Multiple Criteria Decision Aid to Preference Learning, Nov 2018, Poznan, Poland
Communication dans un congrès hal-01947860v1

Cognitive Radio for UAV communications: Opportunities and future challenges

Guilherme Santana , Rogers Cristo , Catherine Dezan , Jean-Philippe Diguet , Diana Osorio
International Conference on Unmanned Aircraft Systems (ICUAS'18), Jun 2018, Dallas, United States
Communication dans un congrès hal-01842441v1

BFM: a Scalable and Resource-aware Method for Adaptive Mission Planning of UAVs

Chabha Hireche , Catherine Dezan , Jean-Philippe Diguet , Luis Mejias
IEEE International Conference on Robotics and Automation (ICRA), May 2018, Brisbane, Australia
Communication dans un congrès hal-01739849v1

IA mission planning for autonomous vehicles : probabilistic models and embedded versions

Catherine Dezan
IX Escola Regional de Informatica SP/Oeste, Mar 2018, San Carlos, Brazil
Communication dans un congrès hal-01843697v1

Traceable decisions for autonomous unmanned aerial vehicles

Arwa Khannoussi , Patrick Meyer , Catherine Dezan , Jean-Philippe Diguet , Alexandru Liviu Olteanu
ROADEF 2018, Feb 2018, Lorient, France
Communication dans un congrès hal-01740032v1
Image document

Eduquer en montrant l'exemple : les filles qui ...

Vincent Ribaud , Malou Alleno , Cassandra Balland , Esther Bernard , Néné Satorou Cissé
Didapro 7 – DidaSTIC : De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
Communication dans un congrès hal-01756177v1

Embedded Decision Making for UAV Missions

Sara Zermani , Catherine Dezan , Reinhardt Euler
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Communication dans un congrès hal-01528309v1

Online Diagnosis Updates for Embedded Health Management

Chabha Hireche , Catherine Dezan , Jean-Philippe Diguet
6th Mediterranean Conference on Embedded Computing (MECO), Jun 2017, Bar, Montenegro
Communication dans un congrès hal-01528310v1
Image document

Girls Who . . . Do Scratch a First Round with the Essence Kernel

Cassandra Balland , Néné Satorou Cissé , Louise Hergoualc’h , Gwendoline Kervot , Audrey Lidec
2017 IEEE 30th Conference on Software Engineering Education and Training (CSEE&T), Nov 2017, Savannah, France. ⟨10.1109/CSEET.2017.48⟩
Communication dans un congrès hal-01756185v1

Integrating human preferences in automated decisions of unmanned aerial vehicles

Arwa Khannoussi , Patrick Meyer , Catherine Dezan , Jean-Philippe Diguet
14th Decision Deck Workshop, Sep 2017, Paris, France
Communication dans un congrès hal-01886997v1

Génération de composant "état de santé" pour monitorer le système embarqué de véhicule autonome

Sara Zermani , Catherine Dezan , Chabha Hireche , Reinhardt Euler , Jean-Philippe Diguet
Compas , Jul 2016, Lorient, France
Communication dans un congrès hal-01337495v1

Embedded Diagnosis and Mission Planning based on Stochastic Methods

Catherine Dezan
USP ICMC Robotics seminar, Oct 2016, San Carlos, Brazil
Communication dans un congrès hal-01443249v1

Embedded and Probabilistic Health Management for the GPS of Autonomous Vehicles

Sara Zermani , Catherine Dezan , Chabha Hireche , Reinhardt Euler , Jean-Philippe Diguet
5th Mediterranean Conference on Embedded Computing, Jun 2016, Bar, Montenegro
Communication dans un congrès hal-01306909v1

Framework to Generate and Validate Embedded Decison Trees with Missing Data

Arwa Khannoussi , Catherine Dezan , Patrick Meyer
JRWRTC 2016 : 10th Junior Researcher Workshop on Real-Time Computing, Oct 2016, Brest, France. pp.17 - 20
Communication dans un congrès hal-01394091v1

FPGA Implementation of Bayesian Network Inference for an Embedded Diagnosis

Sara Zermani , Catherine Dezan , Hanen Chenini , Jean-Philippe Diguet , Reinhardt Euler
IEEE Conference on Prognostics and Health Management (PHM), Jun 2015, Austin, Texas, United States
Communication dans un congrès hal-01146318v1

Bayesian Network-Based Framework for the Design of Reconfigurable Health Management Monitors

Sara Zermani , Catherine Dezan , Reinhardt Euler , Jean-Philippe Diguet
NASA/ESA Conf. on Adaptive Hardware and Systems (AHS), Jun 2015, Montreal, Canada
Communication dans un congrès hal-01146309v1

Embedded Real-Time Localization of UAV based on an Hybrid Device

Hanen Chenini , Dominique Heller , Catherine Dezan , Jean-Philippe Diguet , Duncan Campbell
40th IEEE International Conference on Acoustics, Speech and Signal Processing (ICASSP) 2015, IEEE, Apr 2015, Brisbane, Australia
Communication dans un congrès hal-01122681v1

Embedded Health Management for Autonomous UAV Mission

Catherine Dezan
QUT Robotics seminar , Nov 2015, Brisbane, Australia
Communication dans un congrès hal-01443248v1

Stochastic Reliability Evaluation of Sea-of-Tiles Based on Double Gate Controllable-Polarity FETs

Catherine Dezan , Sara Zermani
IEEE/ACM NANOARCH'14, Jul 2014, Paris, France. pp.169-170
Communication dans un congrès hal-01023862v1
Image document

Online Inference for Adaptive Diagnosis via Arithmetic Circuit Compilation of Bayesian Networks

Sara Zermani , Catherine Dezan , Reinhardt Euler , Jean-Philippe Diguet
Designing with Uncertainty: Opportunities & Challenges workshop, Mar 2014, York, United Kingdom
Communication dans un congrès hal-00965533v1

From Specifications towards Hardware

Catherine Dezan
Invited seminar, ARCAA, Jul 2012, Brisbane, Australia
Communication dans un congrès hal-00765035v1

Regular 2D Nasic-based Architecture and Design Space Exploration

Ciprian Teodorov , Pritish Narayanan , Loic Lagadec , Catherine Dezan
NANOARCH 2011, Jun 2011, San Diego, United States. pp.70-77
Communication dans un congrès hal-00598850v1

Optimizing Memory Access Latencies on a Reconfigurable Multimedia Accelerator: A Case of a Turbo Product Codes Decoder

Samar Yazdani , Thierry Goubier , Bernard Pottier , Catherine Dezan
ARC 2009, the 5th International Workshop on Applied Reconfigurable Computing, Mar 2009, Germany. pp.287-292, ⟨10.1007/978-3-642-00641-8_30⟩
Communication dans un congrès hal-00490480v1

Fine Grain Parallel Decoding of Turbo Product Codes: Algorithm and Architecture

Thierry Goubier , Catherine Dezan , Bernard Pottier , Christophe Jégo
5th international symposium on turbo codes and related topics, Sep 2008, Lausanne, Switzerland. pp.90-95
Communication dans un congrès hal-00487334v1

On the Way to Design Computing Architectures with Emerging Nanoscale Technologies

Ciprian Teodorov , Catherine Dezan , Loïc Lagadec
Colloque annuel GDR-SOC-Sip, Jun 2008, Paris, France
Communication dans un congrès hal-00380878v1
Image document

Building CAD Prototyping Tool for Emerging Nanoscale Fabrics

Catherine Dezan , Loic Lagadec , Michael Leuchtenburg , Teng Wang , Pritish Narayanan
ENS 2007, Dec 2007, Paris, France. pp.25-30
Communication dans un congrès hal-00202507v1

Building CAD Prototyping Tool for Emerging Nanoscale Fabrics

Catherine Dezan , Loïc Lagadec , Michael Leuchtenburg , Teng Wang , Pritish Narayanan
European Nano Systems 2007, Dec 2007, Paris, France. pp.25-30
Communication dans un congrès hal-00259374v1

Introduction of Error Correcting Schemes in the design Process of Self-Healing Circuits for Nanoscale Fabrics

Catherine Dezan , Teng Wang
Eleventh Annual HPEC workshop : High Performance Embedded Computing, Sep 2007, Lexington, United States. pp.25
Communication dans un congrès hal-00169921v1

The Case Study of Block turbo Decoders on a Framework for Portable Synthesis on FPGA

Catherine Dezan , Christophe Jégo , Bernard Pottier , Christophe Gouyen , Loïc Lagadec
39th Hawaii International conference on System Sciences, 2006, United States. pp.250b
Communication dans un congrès hal-00083395v1

Abstract Synthesis of Turbo Decoder Elements onto Reconfigurable Circuit

Caaliph Andriamisaina , Catherine Dezan , Christophe Jego , Bernard Pottier
2005, pp.263-266
Communication dans un congrès hal-00083398v1

Abstract Synthesis of Turbo Decoder Elements onto Reconfigurable Circuit

Caaliph Andriamisaina , Dezan Catherine , Christophe Jego , Pottier Bernard
2005, pp.263-264
Communication dans un congrès hal-00079265v1

Synthèse abstraite d'éléments de turbo-décodeurs en bloc pour circuits reconfigurables

Caaliph Andriamisaina , Catherine Dezan , Christophe Jego , Bernard Pottier
SympA'2005 : 8ème édition du symposium en architectures nouvelles de machines, 2005, France. pp.25-36
Communication dans un congrès hal-00083359v1
Image document

A LUT based Approach for High Level Synthesis on FPGAs

Loic Lagadec , Bernard Pottier , Oscar Villellas , Erwan Fabiani , Catherine Dezan
International Workshop on Logic and Synthesis (IWLS), Jun 2002, New Orleans, United States
Communication dans un congrès hal-01862801v1

Object oriented approach for modeling digital circuits

C. Dezan , L. Lagadec , B. Pottier
1999 IEEE International Conference on Microelectronics Systems Education (MSE'99), Jul 1999, Arlington, United States. ⟨10.1109/MSE.1999.787033⟩
Communication dans un congrès hal-01862761v1

Generating Regular Arrays By Program Transformations

C. Dezan
Second Euromicro Workshop on Parallel and Distributed Processing, Jan 1994, Malaga, France. ⟨10.1109/EMPDP.1994.592486⟩
Communication dans un congrès hal-01862751v1

Verification of regular architectures using ALPHA: a case study

C. Dezan , P. Quinton
IEEE International Conference on Application Specific Array Processors (ASAP'94), Aug 1994, San Francisco, France. ⟨10.1109/ASAP.1994.331806⟩
Communication dans un congrès hal-01862756v1

Synthesis of systolic arrays by equation transformations

C. Dezan , E. Gautrin , H. Le Verge , P. Quinton , Yannick Saouter
International Conference on Application Specific Array Processors, Sep 1991, Barcelona, France. ⟨10.1109/ASAP.1991.238911⟩
Communication dans un congrès hal-01862745v1
Image document

MoTIe : Monitoring of mobile Things with Intelligent and embedded adaptations for secure services

Mohand Hamadouche , Catherine Dezan , David Espes , Kalinka Regina Lucas Jaquie Castelo Branco
Compas 2023: Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2023, Annecy, France
Poster de conférence hal-04394631v1

Optimisation multi-objectif pour une replanification en ligne des trajectoires de véhicules autonomes

Kilian Le Gall , Laurent Lemarchand , Catherine Dezan
COMPAS, Jul 2023, Annecy, France
Poster de conférence hal-04230253v1

Work-in-progress: Ontology-driven Generation of AADL architecture Models

Perig Dissaux , Catherine Dezan , Frank Singhoff , Jérome Legrand , Pierre Dissaux
GDR Soc2, Jun 2022, Strasbourg, France
Poster de conférence hal-03780991v1
Image document

Multi-objective optimization at the EDge for Online and Real-time self-Adaptation of Autonomous vehicles

Evan Flecheau , Laurent Lemarchand , Catherine Dezan
Colloque du GDR SOC2, Jun 2022, Strasbourg, France
Poster de conférence hal-03718161v1

Classifieur embarqué pour la détection d’intrusions dans le contexte des véhicules autonomes

Mohammed-Amine Hsaini , Mohand Hamadouche , David Espes , Dezan Catherine
COMPAS, Jul 2021, Lyon, France
Poster de conférence hal-03373023v1
Image document

Éduquer en montrant l'exemple: les filles qui… (descriptif de poster)

Malou Alleno , Cassandra Balland , Esther Bernard , Néné Satorou Cissé , Morgane Coat
Didapro 7 – DidaSTIC. De 0 à 1 ou l’heure de l’informatique à l’école, Feb 2018, Lausanne, Suisse
Poster de conférence hal-01753227v1

Planification de Mission de Drone: Implémentation Logicielle/Matérielle

Chabha Hireche , Catherine Dezan , Jean-Philippe Diguet , Stéphane Mocanu
GDR SoC2, Jun 2018, Paris, France
Poster de conférence hal-01844331v1

SWARMS Project : Self-Adaptive HW/SW Architecture for Unmanned Aerial Vehicles (UAVs)

Sara Zermani , Hanen Chenini , Catherine Dezan , Reinhardt Euler , Dominique Heller
Séminaire des doctorantes et doctorants de la SIF, GDR SoC-SiP, Apr 2016, Paris, Nantes, France
Poster de conférence hal-01844370v1

Du paramétrage de la granularité du calcul et de la localité des données des implémentations sur GPU - Expérimentations OpenCL

Guevel Emanuel , Anne Jeannin-Girardon , Catherine Dezan
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Poster de conférence hal-00848571v1

Diagnostic de circuits combinatoires par réseaux bayésiens

Sara Zermani , Catherine Dezan , Reinhardt Euler
Colloque annuel du GDR SOC-SIP, Jun 2013, Lyon, France
Poster de conférence hal-00848515v1