Recherche - Archive ouverte HAL Accéder directement au contenu

Filtrer vos résultats

121 résultats

Compact soliton generation based on the butt-coupling between a Si3N4 microresonator and a DFB laser

Sylvain Boust , Houssein El Dirani , Laurène Youssef , Yannick Robert , Larrue Alexandre , et al.
OSA Advanced Photonics Congress 2020 (APC 2020), Jul 2020, Washington, United States. pp.JTu2D.6, ⟨10.1364/IPRSN.2020.JTu2D.6⟩
Communication dans un congrès hal-03128968v1
Image document

Compact optical frequency comb source based on a DFB butt-coupled to a silicon nitride microring

Sylvain Boust , Houssein El Dirani , François Duport , Laurène Youssef , Yannick Robert , et al.
2019 IEEE International Topical Meeting on Microwave Photonics , 2019, 2019 International Topical Meeting on Microwave Photonics (MWP), ⟨10.1109/MWP.2019.8892102⟩
Article dans une revue hal-02324813v1

Study of physisorption phenomena of chemical species on 300 mm Si wafers during controlled mini-environment transfers between microelectronic equipments

B. Pelissier , S. Labau , M. Martin , C. Petit-Etienne , H. Fontaine , et al.
Microelectronic Engineering, 2020, 231, pp.111401. ⟨10.1016/j.mee.2020.111401⟩
Article dans une revue hal-02917565v1

New trends in Plasma Technologies

O. Joubert , G. Cunge , E. Pargon , L. Vallier , E. Despiau-Pujo , et al.
43rd Conference on Micro and Nano Engineering (MNE), Sep 2017, Braga, Portugal
Communication dans un congrès hal-01891286v1

Ultra-high selective etching in remote plasmas: application to smart etch processes

G. Cunge. , C Petit−etienne. , L Vallier. , J Dubois. , R Soriano. , et al.
PESM 2017 (Plasma Etch and Strip in Microtechnology), 2017, Louvain, Belgium
Communication dans un congrès hal-01891297v1

Towards new plasma technologies for 22nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David , et al.
SPIE-AL, 2012, San Jose, CA, United States
Communication dans un congrès hal-00808670v1
Image document

Influence of the carrier wafer during GaN etching in Cl2 plasma

Thibaut Meyer , Camille Petit-Etienne , Erwine Pargon
Journal of Vacuum Science & Technology A, 2022, ⟨10.1116/6.0001478⟩
Article dans une revue hal-03618882v1

Improving Etch Processes by Using Pulsed Plasmas

Maxime Darnon , M. Haass , P. Bodart , G. Cunge , C. Petit-Etienne , et al.
AVS 58h international symposium, Oct 2011, Nashville, United States
Communication dans un congrès hal-00647630v1

Synchronized pulsed plasmas: potential process improvements for patterning technologies

M. Haass , Maxime Darnon , E. Pargon , G. Cunge , S. Banna , et al.
63rd Gaseous Electronic Conference and 7th International Conference on Reactive Plasmas, Oct 2010, Paris, France
Communication dans un congrès hal-00625370v1

Reduction of Plasma Induced Silicon-Recess During Gate Over-Etch Using Synchronous Pulsed Plasmas

Maxime Darnon , C. Petit-Etienne , F. Boulard , E. Pargon , L. Vallier , et al.
AVS 57th international symposium, Oct 2010, Albuquerque, United States
Communication dans un congrès hal-00625366v1
Image document

Dépôt d'oxyde de silicium par procédé plasma hors équilibre à basse pression et à pression atmosphérique sur de l'acier : application aux propriétés anticorrosion

Camille Petit-Etienne
Matière Condensée [cond-mat]. Chimie ParisTech, 2007. Français. ⟨NNT : ⟩
Thèse tel-00367151v1

Ultra Low-Loss Silicon Waveguides for 200 mm Photonics Platform

Cyril Bellegarde , Stéphane Bernabé , Stephane Brision , Benoit Charbonnier , Daivid Fowler , et al.
2019 IEEE 16th International Conference on Group IV Photonics (GFP), Aug 2019, Singapore, Singapore. pp.1-2, ⟨10.1109/group4.2019.8853923⟩
Communication dans un congrès hal-02330536v1

Low-Loss Silicon Technology for High-Q bright Quantum Sources

H. El Dirani , F. Sabattoli , F. Garrisi , C. Petit-Etienne , J.-M. Hartmann , et al.
IEEE International conference on Group IV photonics (GFP), 2019
Article dans une revue hal-02330546v1

MD simulations of chlorine plasmas interaction with ultrathin silicon films for advanced etch processes.

P. Brichon , E. Despiau-Pujo , O Mourey , C. Petit-Etienne , G. Cunge , et al.
Plasma Etch and Strip in Microelectronics (PESM), 6th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798524v1

Measuring IVDF through high−aspect holes in pulsed ICP plasmas

G. Cunge. , M. Darnon. , J Dubois. , P Bezard. , O Mourey. , et al.
68th GEC / ICRP−9, Oct 2015, Honolulu, United States
Communication dans un congrès hal-01878113v1

Reactor wall plasma cleaning processes after InP etching in Cl2/CH4/Ar ICP discharge

C. Chanson , E. Pargon , M. Darnon , C. Petit Etienne , M. Foucher , et al.
Plasma Etch and Strip in Microelectronics (PESM), 7th International Workshop, May 2014, grenoble, France
Communication dans un congrès hal-01798099v1

Photoemission investigation of the graphene surface cleaning by hydrogen/nitrogen plasma

D. Ferrah , O. Renault , C. Petit-Etienne , H. Okuno , W. Hourani , et al.
16th European Conference on Applications of Surface and Interface Analysis (ECASIA’15), Sep 2015, Granada, Spain. ⟨10.1002/sia.6010⟩
Communication dans un congrès istex hal-01877940v1

Damage Free Plasma Etching Processes of III-V Semiconductors for Microelectronic and Photonic Applications

E. Pargon , M. Bizouerne , C. Petit-Etienne , L. Vallier , G. Gay , et al.
64th International AVS Symposium & Topical Conferences, Nov 2017, Tampa Floride, United States
Communication dans un congrès hal-01891260v1

Interest of synchronized pulsed plasmas for next CMOS technologies

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , L. Vallier , et al.
China Semiconductor Technology International Conference (CSTIC) 2011, Mar 2011, Shanghaï, China
Communication dans un congrès hal-00625345v1

Synchronous Pulsed Plasma for Silicon Etch Applications

Maxime Darnon , C. Petit-Etienne , E. Pargon , G. Cunge , L. Vallier , et al.
ECS Trans, 2010, pp.27 (1), 717-723
Article dans une revue hal-00625292v1

Pulsed plasmas for etching at the nanoscale

Maxime Darnon , G. Cunge , C. Petit Etienne , E. Pargon , L. Vallier , et al.
Journées Nationales des Technologies Émergentes, May 2013, Evian les bains, France
Communication dans un congrès hal-00860922v1

Towards new plasma technologies for 22 nm gate etch processes and beyond

O. Joubert , Maxime Darnon , G. Cunge , E. Pargon , T. David , et al.
SPIE Advanced Lithography, 2012, San Jose, United States. pp.83280D, ⟨10.1117/12.920312⟩
Communication dans un congrès hal-00808682v1
Image document

Mechanical stress in InP and GaAs ridges formed by reactive ion etching

Jean-Pierre Landesman , Marc Fouchier , Erwine Pargon , Solène Gérard , Névine Rochat , et al.
Journal of Applied Physics, 2020, 128 (22), ⟨10.1063/5.0032838⟩
Article dans une revue hal-03043725v1

Etch Selectivity Mechanisms of Implanted Over Pristine SiN Materials in NH3/NF3 Remote Plasma for Quasi Atomic Layer Etching with the Smart Etch Concept

V. Renaud , E. Pargon , C. Petit-Etienne , J.-P. Barnes , N. Rochat , et al.
65th International AVS Symposium & Topical Conferences, Oct 2018, Long Beach, United States
Communication dans un congrès hal-01942750v1

Etching mechanisms of thin SiO2 exposed to Cl2 plasma

C. Petit-Etienne , Maxime Darnon , L. Vallier , E. Pargon , G. Cunge , et al.
Journal of Vacuum Science and Technology, 2011, B 29(5), Sep/Oct 2011
Article dans une revue hal-00629230v1
Image document

Measuring ion velocity distribution functions through high-aspect ratio holes in inductively coupled plasmas

G. Cunge , Maxime Darnon , J Dubois , P. Bézard , O Mourey , et al.
Applied Physics Letters, 2016, 108, pp.93109 - 32108. ⟨10.1063/1.4942892⟩
Article dans une revue hal-01865123v1

Deposition of SiO2-Like Thin Films from a Mixture of HMDSO and Oxygen by Low Pressure and DBD Discharges to Improve the Corrosion Behaviour of Steel

Camille Petit-Etienne , Michael Tatoulian , Isabelle Mabille , Eliane Sutter , Farzaneh Arefi-Khonsari
10th International Conference on Plasma Surface Engineering: PSE 2006, Sep 2006, Garmisch-Partenkirchen, Germany
Poster de conférence hal-04489881v1
Image document

Microcomb Source Based on InP DFB / Si3N4 Microring Butt-Coupling

Sylvain Boust , Houssein El Dirani , Laurène Youssef , Yannick Robert , Alexandre Larrue , et al.
Journal of Lightwave Technology, 2020, 38 (19), pp.5517 - 5525. ⟨10.1109/jlt.2020.3002272⟩
Article dans une revue hal-02994120v1

Cleaning chamber walls after ITO plasma etching process

Salma Younesy , Camille Petit-Etienne , Sebastien Barnola , Pascal Gouraud , Gilles Cunge
Advanced Etch Technology for Nanopatterning IX, Feb 2020, San Jose, United States. pp.30, ⟨10.1117/12.2549210⟩
Communication dans un congrès hal-02912746v1

Synchronous Plasma Pulsing for Etch Applications

Moritz Haass , Maxime Darnon , Erwine Pargon , Camille Petit-Etienne , Laurent Vallier , et al.
3rd Plasma Etch and Strip in Microelectronics Workshop, Mar 2019, Grenoble, France
Communication dans un congrès hal-02339989v1