Accéder directement au contenu

Arnaud Virazel

33%
Libre accès
66
Documents
Affiliations actuelles
  • 1100642
Identifiants chercheurs
Contact

Présentation

Enseignant-chercheur au **LIRMM** dans l’équipe de recherche **TEST**: Test and dEpendability of microelectronic integrated SysTems. <https://www.lirmm.fr/recherche/equipes/test> **Cours** : <http://www.lirmm.fr/~virazel/COURS/index.php?dir=L1%20-%20HLEE202/Cours/> **Researchgate** : [https://www.researchgate.net/profile/Arnaud\_Virazel](https://www.researchgate.net/profile/Arnaud_Virazel)

Publications

845061

Is TMR Suitable for Yield Improvement ?

Julien Vial , Arnaud Virazel , Alberto Bosio , Patrick Girard , Christian Landrault
IET Computers & Digital Techniques, 2009, 3 (6), pp.581-592. ⟨10.1049/iet-cdt.2008.0127⟩
Article dans une revue lirmm-00406961v1

A SPICE-Like 2T-FLOTOX Core-Cell Model for Defect Injection and Faulty Behavior Prediction in eFlash

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2009, N/A, pp.127-144. ⟨10.1007/s10836-008-5096-9⟩
Article dans une revue lirmm-00371370v1

A Selective Scan Slice Encoding Technique for Test Data Volume and Test Power Reduction

Nabil Badereddine , Zhanglei Wang , Patrick Girard , Krishnendu Chakrabarty , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2008, 24 (4), pp.353-364. ⟨10.1007/s10836-007-5053-z⟩
Article dans une revue lirmm-00331296v1

A Gated Clock Scheme for Low Power Testing of Logic Cores

Christian Landrault , Yannick Bonhomme , Arnaud Virazel , Patrick Girard , Loïs Guiller
Journal of Electronic Testing: : Theory and Applications, 2006, 22 (1), pp.89-99. ⟨10.1007/s10836-006-6259-1⟩
Article dans une revue lirmm-00134766v1

Hardware Generation of Random Single Input Change Test Sequence

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 2002, 18 (2), pp.145-157. ⟨10.1023/A:1014941525735⟩
Article dans une revue lirmm-00268540v1

High Defect Coverage with Low Power Test Sequences in a BIST Environment

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel , Hans-Joachim Wunderlich
IEEE Design & Test, 2002, 19 (5), pp.44-52. ⟨10.1109/MDT.2002.1033791⟩
Article dans une revue lirmm-00268585v1
Image document

Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journal of Electronic Testing: : Theory and Applications, 2001, 17 (3/4), pp.233-241. ⟨10.1023/A:1012259227622⟩
Article dans une revue lirmm-00345796v1

A Scan-BIST Structure to Test Delay Faults in Sequential Circuits

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 1999, 14 (1/2), pp.95-102. ⟨10.1023/A:1008305507376⟩
Article dans une revue lirmm-00345794v1
Image document

A New Scan-BIST Structures to Test delay Faults in Sequential Circuits

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
Journal of Electronic Testing: : Theory and Applications, 1999, 14, pp.95-102. ⟨10.1023/A:1008305507376⟩
Article dans une revue lirmm-00345797v1

Improved Diagnosis Resolution without Physical Information

Alberto Bosio , Alexandre Rousset , Patrick Girard , Serge Pravossoudovitch , Christian Landrault
DELTA'08: International Symposium on Electronic Design, Test & Applications, Jan 2008, pp.210-215
Communication dans un congrès lirmm-00260961v1

Using TMR Architectures for Yield Improvement

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DFT'08: 23rd IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Oct 2008, pp.007-015
Communication dans un congrès lirmm-00326901v1

Impact of Technology Scaling on Defects and Parameter Deviations in Embedded SRAMs

Luigi Dilillo , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VLSI Test Symposium, Apr 2008, San Diego, California, United States. pp.336
Communication dans un congrès lirmm-00324151v1
Image document

Yield Improvement, Fault-Tolerance to the Rescue?

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
IOLTS: International On-Line Testing Symposium, Jul 2008, Rhodes, Greece. pp.165-170, ⟨10.1109/IOLTS.2008.10⟩
Communication dans un congrès lirmm-00303400v1

Améliorer le rendement grâce aux structures tolérantes aux fautes

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journées des Doctorants de l'Ecole Doctorale I2S, France
Communication dans un congrès lirmm-00341806v1
Image document

A Design-for-Diagnosis Technique for SRAM Write Drivers

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
DATE: Design, Automation and Test in Europe, Mar 2008, Munich, Germany. pp.1480-1485, ⟨10.1109/DATE.2008.4484883⟩
Communication dans un congrès lirmm-00341796v1

Analyse des capacités de test de générateurs intégrés produisant des vecteurs adjacents

Arnaud Virazel , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque CAO de Circuits Intégrés et Systèmes, France. pp.88-91
Communication dans un congrès lirmm-00345803v1

Tolérer Plus pour Fabriquer Plus

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque GDR SoC-SiP, France
Communication dans un congrès lirmm-00341812v1

Utilisation de structures tolérantes aux fautes pour augmenter le rendement

Julien Vial , Christian Landrault , Alberto Bosio , Patrick Girard , Serge Pravossoudovitch
JNRDM 2008 - 11e Journées Nationales du Réseau Doctoral de Microélectronique, May 2008, Bordeaux, France
Communication dans un congrès lirmm-00341811v1

Test des Mémoires Flash Embarquées : Analyse de la perturbation entre cellules FloTOx voisines durant une phase de programmation

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Journées Nationales du Réseau Doctoral de Microélectronique, France
Communication dans un congrès lirmm-00194274v1

Embedded Flash Testing

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194277v1

Resistive-Open Defect Influences in SRAM I/O Circuitry

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194282v1

Un-Restored Destructive Write Faults due to Resistive-Open Defects in the Write Driver of SRAMs

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VTS 2007 - 25th IEEE VLSI Test Symposium, May 2007, Berkeley, CA, United States. pp.361-366, ⟨10.1109/VTS.2007.84⟩
Communication dans un congrès lirmm-00155979v1
Image document

A Concurrent Approach for Testing Address Decoder Faults in eFlash Memories

Olivier Ginez , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ITC'07: International Test Conference, paper 3.2
Communication dans un congrès lirmm-00194260v1

Electrical Simulation Model of the 2T-FLOTOX Core-Cell for Defect Injection and Faulty Behavior Prediction in eFlash Memories

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.77-82, ⟨10.1109/ETS.2007.20⟩
Communication dans un congrès lirmm-00158543v1

A Mixed Approach for Unified Logic Diagnosis

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DDECS'07: IEEE Design and Diagnostics of Electronic Circuits and Systems, Apr 2007, Krakow, Poland, pp.239-242
Communication dans un congrès lirmm-00161643v1

Méthode de diagnostic unifiée pour circuits intégrés numériques

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194285v1

Dynamic Two-Cell Incorrect Read Fault due to Resistive-Open Defects in the Sense Amplifiers of SRAMs

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.97-104, ⟨10.1109/ETS.2007.19⟩
Communication dans un congrès lirmm-00158116v1
Image document

Slow Write Driver Faults in 65nm SRAM Technology: Analysis and March Test Solution

Alexandre Ney , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
DATE: Design, Automation and Test in Europe, Apr 2007, Nice, France. pp.528-533, ⟨10.1109/DATE.2007.364647⟩
Communication dans un congrès lirmm-00187037v1

Influence of Threshold Voltage Deviations on 90nm SRAM Core-Cell Behavior

Magali Bastian Hage-Hassan , Vincent Gouin , Patrick Girard , Christian Landrault , Alexandre Ney
ATS 2007 - 16th IEEE Asian Test Symposium, Oct 2007, Beijing, China. pp.501-504, ⟨10.1109/ATS.2007.121⟩
Communication dans un congrès lirmm-00179276v1

Retention and Reliability Problems in Embedded Flash Memories: Analysis and Test of Defective 2T-FLOTOX Tunnel Window

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
VTS'07: 25th IEEE VLSI Test Symposium, May 2007, Berkeley, CA (USA), pp.47-52
Communication dans un congrès lirmm-00151034v1

DERRIC: A Tool for Unified Logic Diagnosis

Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Alexandre Rousset
ETS: European Test Symposium, May 2007, Freiburg, Germany. pp.13-18, ⟨10.1109/ETS.2007.16⟩
Communication dans un congrès lirmm-00155993v1

Fast Bridging Fault Diagnosis using Logic Information

Alexandre Rousset , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ATS: Asian Test Symposium, Oct 2007, Beijing, China. pp.33-38
Communication dans un congrès lirmm-00179259v1

Test et testabilité de structures numériques tolérantes aux fautes

Julien Vial , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
Colloque du GDR SoC-SiP, Jun 2007, Paris, France
Communication dans un congrès lirmm-00194278v1
Image document

Diagnostic Multi-Modèles des Circuits Logiques

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
MAJECSTIC'06: Manifestation des Jeunes Chercheurs STIC, Nov 2006, Lorient, France
Communication dans un congrès lirmm-00136876v1
Image document

Méthode unifiée de diagnostic ciblant l'ensemble des modèles de fautes

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Communication dans un congrès lirmm-00136841v1
Image document

Structural-Based Power-Aware Assignment of Don't Cares for Peak Power Reduction during Scan Testing

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
VLSI-SOC'06: 14th IFIP WG 10.5 International Conference on Very Large Scale Integration and System-on-Chip, Oct 2006, Nice (France), pp.403-408
Communication dans un congrès lirmm-00108141v1
Image document

Unified Diagnostic Method Targeting Several Fault Models

Alexandre Rousset , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
VLSI-SOC'06: 14th IFIP WG 10.5 International Conference on Very Large Scale Integration and System-on-Chip, Oct 2006, Nice, pp.53-55
Communication dans un congrès lirmm-00136869v1
Image document

Technique Structurelle d'Affectation des Bits Non Spécifiés en Vue d'une Réduction de la Puissance de Pic Pendant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, May 2006, Rennes, France
Communication dans un congrès lirmm-00136838v1

Power-Aware Test Data Compression for Embedded IP Core

Nabil Badereddine , Zhanglei Wang , Patrick Girard , Krishnendu Chakrabarty , Arnaud Virazel
ATS 2006 - 15th IEEE Asian Test Symposium, Nov 2006, Fukuoka, Japan. pp.5-10, ⟨10.1109/ATS.2006.66⟩
Communication dans un congrès lirmm-00116832v1
Image document

Unified Framework for Logic Diagnosis

Alexandre Rousset , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
EWDTW: East-West Design & Test Workshop, Sep 2006, Sochi, Russia. pp.47-52
Communication dans un congrès lirmm-00096211v1
Image document

Embedded Flash Testing: Overview and Perspectives

Olivier Ginez , Jean-Michel Daga , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Sep 2006, Tunis, Tunisia. pp.210-215
Communication dans un congrès lirmm-00093665v1

Low Power Testing

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
WRTLT'06: 7th Workshop on RTL and High Level Testing, Nov 2006, Fukuoka, pp.4
Communication dans un congrès lirmm-00116819v1

Minimizing Peak Power Consumption during Scan Testing: Structural Technique for Don't Care Bits Assignment

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
PRIME'06: Conference on Ph.D. Research in Microelectronics and Electronics, Jun 2006, Otranto, Italy, pp.65-68
Communication dans un congrès lirmm-00137614v1

An Overview of Failure Mechanisms in Embedded Flash Memories

Olivier Ginez , Jean-Michel Daga , Marylène Combe , Patrick Girard , Christian Landrault
VTS'06: VLSI Test Symposium, Apr 2006, Berkeley, CA, United States. pp.108-113
Communication dans un congrès lirmm-00102761v1
Image document

Minimizing Peak Power Consumption during Scan Testing: Test Pattern Modification with X Filling Heuristics

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DTIS: Design and Technology of Integrated Systems in Nanoscale Era, Sep 2006, Tunis, Tunisia. pp.359-364
Communication dans un congrès lirmm-00093690v1

Analyse et Réduction de la Puissance de Pic durant le Test Série

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
JNRDM 2005 - 8e Journées Nationales du Réseau Doctoral de Microélectronique, May 2005, Paris, France
Communication dans un congrès lirmm-00106528v1

Peak Power Consumption During Scan Testing: Issue, Analysis and Heuristic Solution

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Christian Landrault , Arnaud Virazel
DDECS'05: IEEE Workshop on Design and Diagnostics of Electronic Circuits and Systems, Apr 2005, Sopron, Hungary. pp.151-159
Communication dans un congrès lirmm-00105990v1
Image document

Controlling Peak Power Consumption During Scan Testing: Power-Aware DfT and Test Set Perspectives

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
PATMOS: Power And Timing Modeling, Optimization and Simulation, Sep 2005, Leuven, Belgium. pp.540-549, ⟨10.1007/11556930_55⟩
Communication dans un congrès lirmm-00106111v1

Power-Aware Scan Testing for Peak Power Reduction

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
VLSI-SOC'05: IFIP International Conference on Very Large Scale Integration, Oct 2005, Perth, Australia. pp.441-446
Communication dans un congrès lirmm-00106112v1
Image document

Design of Routing-Constrained Low Power Scan Chains

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
DATE: Design, Automation and Test in Europe, Feb 2004, Paris, France. pp.62-67, ⟨10.1109/DATE.2004.1268828⟩
Communication dans un congrès lirmm-00108836v1

Design of Routing-Constrained Low Power Scan Chains

Yannick Bonhomme , Patrick Girard , Loïs Guiller , Christian Landrault , Serge Pravossoudovitch
DELTA: Electronic Design, Test and Applications, Jan 2004, Perth, Australia. pp.287-292, ⟨10.1109/DELTA.2004.10009⟩
Communication dans un congrès lirmm-00108833v1

On Using Efficient Test Sequences for BIST

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
VTS: VLSI Test Symposium, 2002, Monterey, CA, United States. pp.145-150
Communication dans un congrès lirmm-00268499v1
Image document

Test Intégré de Circuits Digitaux : Comparaison de deux types de Séquences de Test

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
Journées des Doctorants, École Doctorale I2S, 2001, Montpellier, France. pp.158-160
Communication dans un congrès lirmm-00345806v1

On Hardware Generation of Random Single Input Change Test

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
ETW: European Test Workshop, May 2001, Saltsjöbaden, Sweden. pp.117-123
Communication dans un congrès lirmm-00345801v1
Image document

Test Intégré de Circuits Digitaux : Etude Comparative de l'Efficacité de deux types de Séquences de Test

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
JNRDM: Journées Nationales du Réseau Doctoral de Microélectronique, LIRMM; CEM2, May 2000, Montpellier, France. pp.86-87
Communication dans un congrès lirmm-00345804v1
Image document

Comparison between Random and Pseudo-Random Generation for BIST of Delay, Stuck-at and Bridging Faults

Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
IOLTW: International On-Line Testing Workshop, Jul 2000, Palma de Mallorca, Spain. pp.121-161, ⟨10.1109/OLT.2000.856623⟩
Communication dans un congrès lirmm-00345800v1
Image document

Delay Fault Testing: Choosing Between Random SIC and Random MIC Test Sequences

Arnaud Virazel , René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ETW: European Test Workshop, May 2000, Cascais, Portugal. pp.09-14, ⟨10.1109/ETW.2000.873772⟩
Communication dans un congrès lirmm-00345799v1

A BIST Structure to Test Delay Faults in a Scan Environment

Patrick Girard , Christian Landrault , Véronique Moreda , Serge Pravossoudovitch , Arnaud Virazel
ATS: Asian Test Symposium, Dec 1998, Singapore, Singapore. pp.435-439
Communication dans un congrès lirmm-00345798v1

SoC Yield Improvement for Future Nanoscale Technologies

Julien Vial , Arnaud Virazel , Alberto Bosio , Luigi Dilillo , Patrick Girard
ETS 2009 - 14th IEEE European Test Symposium | PhD Forum, May 2009, Sevilla, Spain. 2009
Poster de conférence lirmm-00433798v1

SoC Yield Improvement: Redundant Architectures to the Rescue

Julien Vial , Alberto Bosio , Patrick Girard , Christian Landrault , Serge Pravossoudovitch
ITC'2008: International Test Conference, Oct 2008, Santa Clara, CA, United States. IEEE, pp.7, 2008
Poster de conférence lirmm-00341799v1

Structural Power-Aware Assignment of Xs for Peak Power Reduction during Scan Testing

Christian Landrault , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Nabil Badereddine
ETS: European Test Symposium, May 2006, Southampton, United Kingdom. 11th IEEE European Test Symposium, 2006
Poster de conférence lirmm-00134781v1
Image document

Scan Cell Reordering for Peak Power Reduction during Scan Test Cycles

Nabil Badereddine , Patrick Girard , Serge Pravossoudovitch , Arnaud Virazel , Christian Landrault
VLSI-Soc: From Systems to Silicon, pp.267-281, 2007, 978-0-387-73661-7
Chapitre d'ouvrage lirmm-00194261v1
Image document

Random Adjacent Sequences: An Efficient Solution for Logic BIST

René M. G. David , Patrick Girard , Christian Landrault , Serge Pravossoudovitch , Arnaud Virazel
SOC Design Methodologies, 90, Kluwer, pp.413-424, 2002, IFIP — The International Federation for Information Processing, 978-1-4757-6530-4. ⟨10.1007/978-0-387-35597-9_35⟩
Chapitre d'ouvrage lirmm-00345802v1