Accéder directement au contenu

Abdoulaye Gamatié

162
Documents

Présentation

**Biography:** Abdoulaye Gamatié is currently a CNRS Senior Researcher (Directeur de Recherche [CNRS](http://www.cnrs.fr/index.php)) in the Microelectronics department of the [LIRMM](http://www.lirmm.fr/lirmm_eng) laboratory (Montpellier - France). His research activity focuses on the design of energy-efficient multicore/multiprocessor architectures for embedded and high-performance computing. He is the scientific leader of the French ANR project [CONTINUUM](http://www.lirmm.fr/continuum-project). He co-authored more than 50 articles in refereed journals and international conferences. He is the author of a [reference book](http://www.springer.com/engineering/circuits+%26+systems/book/978-1-4419-0940-4) on synchronous programming of embedded applications using the Signal language. He also contributed to several books as editor and chapter author. He is currently Associate Editor of ACM Transactions on Embedded Computing Systems (ACM TECS). He received his Habilitation (HDR in French) and Ph.D. in Computer Science, respectively in 2012 from Université de Lille 1 and in 2004 from Université de Rennes 1. He was previously member of [LIFL](http://www.lifl.fr/)computer science laboratory (Villeneuve D'Ascq - France) and [Inria](http://www.inria.fr/index.en.html) Lille - Nord Europe research center (France) from 2006 to 2012. Before this period, he had been member of [IRISA](http://www.irisa.fr/en) computer science laboratory (Rennes - France) where he worked on multi-clock synchronous design and analysis of real-time embedded systems in the avionics domain from 1999 to 2005.

Publications

Image document

Optimization of Data and Energy Migrations in Mini Data Centers for Carbon-Neutral Computing

Marcos de Melo da Silva , Abdoulaye Gamatié , Gilles Sassatelli , Michael Poss , Michel Robert
IEEE Transactions on Sustainable Computing, 2023, 8 (1), pp.68-81. ⟨10.1109/TSUSC.2022.3197090⟩
Article dans une revue lirmm-03746168v1
Image document

A Bottom-Up Methodology for the Fast Assessment of CNN Mappings on Energy-Efficient Accelerators

Guillaume Devic , Gilles Sassatelli , Abdoulaye Gamatié
Journal of Low Power Electronics and Applications, 2023, 13 (1), pp.5. ⟨10.3390/jlpea13010005⟩
Article dans une revue lirmm-03939495v1
Image document

A model-based approach to addressing energy demand in sustainable urban systems

Abdoulaye Gamatié , Thomas Leduc , Daniel Siret , Gilles Sassatelli , Michel Robert
Sustainable Computing : Informatics and Systems, 2023, 37, pp.100844. ⟨10.1016/j.suscom.2022.100844⟩
Article dans une revue hal-03944160v1
Image document

Hardware Solutions for Low-Power Smart Edge Computing

Lucas Martin Wisniewski , Jean-Michel Bec , Guillaume Boguszewski , Abdoulaye Gamatié
Journal of Low Power Electronics and Applications, 2022, 12 (4), pp.61. ⟨10.3390/jlpea12040061⟩
Article dans une revue lirmm-03939490v1
Image document

Combined Distributed Shared-Buffered and Diagonally-Linked Mesh Topology for High-Performance Interconnect

Charles Effiong , Gilles Sassatelli , Abdoulaye Gamatié
Micromachines, 2022, 13 (12), pp.2246. ⟨10.3390/mi13122246⟩
Article dans une revue lirmm-03939508v1
Image document

A Segmented Adaptive Router for Near Energy-Proportional Networks-on-Chip

Maxime France-Pillois , Abdoulaye Gamatié , Gilles Sassatelli
ACM Transactions on Embedded Computing Systems (TECS), 2022, 21 (4), pp.1-27/40. ⟨10.1145/3529106⟩
Article dans une revue hal-03724047v1
Image document

Mapping Computations in Heterogeneous Multicore Systems with Statistical Regression on Program Inputs

Junio Cezar Ribeiro da Silva , Lorena Leão , Vinicius Petrucci , Abdoulaye Gamatié , Fernando Magno Quintão Pereira
ACM Transactions on Embedded Computing Systems (TECS), 2021, 20 (6), pp.#112. ⟨10.1145/3478288⟩
Article dans une revue lirmm-03366078v1
Image document

Water Management in Agriculture: A Survey on Current Challenges and Technological Solutions

Abdelmadjid Saad , Abou El Hassan Benyamina , Abdoulaye Gamatié
IEEE Access, 2020, 8, pp.38082-38097. ⟨10.1109/ACCESS.2020.2974977⟩
Article dans une revue lirmm-02506701v1

Exploiting memory allocations in clusterized many-core architectures

Rafael Garibotti , Luciano Ost , Anastasiia Butko , Ricardo Reis , Abdoulaye Gamatié
IET Computers & Digital Techniques, 2019, 13 (4), pp.302-311. ⟨10.1049/iet-cdt.2018.5136⟩
Article dans une revue lirmm-02100269v1

Static Prediction of Silent Stores

Fernando Magno Quintão Pereira , Guilherme Leobas , Abdoulaye Gamatié
ACM Transactions on Architecture and Code Optimization, 2019, 15 (4), pp.#44. ⟨10.1145/3280848⟩
Article dans une revue lirmm-01912634v1
Image document

Empirical Model-Based Performance Prediction for Application Mapping on Multicore Architectures

Abdoulaye Gamatié , Xin An , Ying Zhang , An Kang , Gilles Sassatelli
Journal of Systems Architecture, 2019, 98, pp.1-16. ⟨10.1016/j.sysarc.2019.06.001⟩
Article dans une revue lirmm-02151502v1
Image document

Towards Energy-Efficient Heterogeneous Multicore Architectures for Edge Computing

Abdoulaye Gamatié , Guillaume Devic , Gilles Sassatelli , Stefano Bernabovi , Philippe Naudin
IEEE Access, 2019, 7, pp.49474-49491. ⟨10.1109/ACCESS.2019.2910932⟩
Article dans une revue lirmm-02099306v1
Image document

A gem5 trace-driven simulator for fast architecture exploration of OpenMP workloads

Alejandro Nocua , Florent Bruguier , Gilles Sassatelli , Abdoulaye Gamatié
Microprocessors and Microsystems: Embedded Hardware Design , 2019, 67, pp.42-55. ⟨10.1016/j.micpro.2019.01.008⟩
Article dans une revue lirmm-02100235v1

Exploration of a scalable and power-efficient asynchronous Network-on-Chip with dynamic resource allocation

Charles Emmanuel Effiong , Gilles Sassatelli , Abdoulaye Gamatié
Microprocessors and Microsystems: Embedded Hardware Design , 2018, 60, pp.173-184. ⟨10.1016/j.micpro.2018.05.003⟩
Article dans une revue lirmm-01912679v1
Image document

Non-Volatile Processor Based on MRAM for Ultra-Low-Power IoT Devices

Sophiane Senni , Lionel Torres , Gilles Sassatelli , Abdoulaye Gamatié
ACM Journal on Emerging Technologies in Computing Systems, 2017, 13 (2), pp.1-23. ⟨10.1145/3001936⟩
Article dans une revue lirmm-01419425v1

Introduction à la conception conjointe matériel/logiciel- Une vision générale

Abdoulaye Gamatié , Lionel Torres
Techniques de l'Ingénieur, 2017, Technologies logicielles Architectures des systèmes, TIP402WEB, pp.H8450. ⟨10.51257/a-v1-h8450⟩
Article dans une revue lirmm-01708617v1
Image document

Normally-Off Computing and Checkpoint/Rollback for Fast, Low-Power, and Reliable Devices

Sophiane Senni , Lionel Torres , Pascal Benoit , Abdoulaye Gamatié , Gilles Sassatelli
IEEE Magnetics Letters, 2017, 8, pp.1-5. ⟨10.1109/LMAG.2017.2712780⟩
Article dans une revue hal-01767897v1
Image document

Model-based design of correct controllers for dynamically reconfigurable architectures

Xin An , Eric Rutten , Jean-Philippe Diguet , Abdoulaye Gamatié
ACM Transactions on Embedded Computing Systems (TECS), 2016, 15 (3), pp.#51. ⟨10.1145/2873056⟩
Article dans une revue hal-01272077v1
Image document

Exploring MRAM Technologies for Energy Efficient Systems-On-Chip

Sophiane Senni , Lionel Torres , Gilles Sassatelli , Abdoulaye Gamatié , Bruno Mussard
IEEE Journal on Emerging and Selected Topics in Circuits and Systems, 2016, 6 (3), pp.279-292. ⟨10.1109/JETCAS.2016.2547680⟩
Article dans une revue lirmm-01419429v1

High-level design space exploration for adaptive applications on multiprocessor systems-on-chip

Xin An , Abdoulaye Gamatié , Eric Rutten
Journal of Systems Architecture, 2015, 61 (3-4), pp.172-184. ⟨10.1016/j.sysarc.2015.02.002⟩
Article dans une revue hal-01162488v1

Efficient Embedded Software Migration towards Clusterized Distributed-Memory Architectures

Rafael Garibotti , Anastasiia Butko , Luciano Ost , Abdoulaye Gamatié , Gilles Sassatelli
IEEE Transactions on Computers, 2015, 65 (8), pp.2645-2651. ⟨10.1109/TC.2015.2485202⟩
Article dans une revue lirmm-01385656v1

Progressive and explicit refinement of scheduling for multidimensional data-flow applications using UML MARTE

Calin Glitia , Julien Deantoni , Frédéric Mallet , Jean-Vivien Millo , Pierre Boulet
Design Automation for Embedded Systems, 2015, 19 (1-2), pp.1-33. ⟨10.1007/s10617-014-9140-y⟩
Article dans une revue lirmm-01912854v1
Image document

Special section on modeling of reactive systems

Étienne Craye , Abdoulaye Gamatié
Discrete Event Dynamic Systems, 2013, 23 (4), pp.341-342. ⟨10.1007/s10626-013-0168-0⟩
Article dans une revue lirmm-01418756v1

Abstract Clock-Based Design of a JPEG Encoder

Adolf Abdallah , Abdoulaye Gamatié , Rabie Ben Atitallah , Jean-Luc Dekeyser
IEEE Embedded Systems Letters, 2012, 4 (2), pp.29 -32. ⟨10.1109/LES.2012.2189195⟩
Article dans une revue hal-00758171v1

Enhancing the Compilation of Synchronous Dataflow Programs with a Combined Numerical-Boolean Abstraction

Paul Feautrier , Abdoulaye Gamatié , Laure Gonnord
CSI Journal of Computing, 2012, 1 (4), pp.8:86--8:99
Article dans une revue hal-00860785v1

Progressive and explicit refinement of scheduling for multidimensional data-flow applications using uml marte

Calin Glitia , Julien Deantoni , Frédéric Mallet , Jean-Vivien Millo , Pierre Boulet
Design Automation for Embedded Systems, 2012, 16 (2), pp.137-169. ⟨10.1007/s10617-012-9093-y⟩
Article dans une revue hal-00727239v1

Expressing embedded systems configurations at high abstraction levels with UML MARTE profile: advantages, limitations and alternatives

Imran Rafiq Quadri , Abdoulaye Gamatié , Pierre Boulet , Samy Meftali , Jean-Luc Dekeyser
Journal of Systems Architecture, 2012, ⟨10.1016/j.sysarc.2012.01.001⟩
Article dans une revue hal-00666014v1

A Model Driven Design Framework for Massively Parallel Embedded Systems

Abdoulaye Gamatié , Sébastien Le Beux , Éric Piel , Rabie Ben Atitallah , Anne Etien
ACM Transactions on Embedded Computing Systems (TECS), 2011, 10 (4), pp.1-36. ⟨10.1145/2043662.2043663⟩
Article dans une revue inria-00637595v1

Modélisation UML/MARTE de SoC et analyse temporelle basée sur l'approche synchrone

Adolf Abdallah , Abdoulaye Gamatié , Jean-Luc Dekeyser
Revue des Sciences et Technologies de l'Information - Série TSI : Technique et Science Informatiques, 2011, Architecture des ordinateurs, 30 (9), pp.1089 -- 1114. ⟨10.3166/tsi.30.1089-1113⟩
Article dans une revue inria-00637009v1

The Signal Synchronous Multiclock Approach to the Design of Distributed Embedded Systems

Abdoulaye Gamatié , Thierry Gautier
IEEE Transactions on Parallel and Distributed Systems, 2010, 21 (5), pp.641-657. ⟨10.1109/TPDS.2009.125⟩
Article dans une revue inria-00522794v1
Image document

Adaptivity in High-Performance Embedded Systems: a Reactive Control Model for Reliable and Flexible Design

Huafeng Yu , Abdoulaye Gamatié , Eric Rutten , Jean-Luc Dekeyser
Knowledge Engineering Review, 2010, 21 p
Article dans une revue inria-00536883v1
Image document

Targeting Reconfigurable FPGA based SoCs using the MARTE UML profile: from high abstraction levels to code generation

Imran Rafiq Quadri , Huafeng Yu , Abdoulaye Gamatié , Samy Meftali , Jean-Luc Dekeyser
International Journal of Embedded Systems, 2010, 18 p
Article dans une revue inria-00525015v2
Image document

The Signal Synchronous Multiclock Approach to the Design of Distributed Embedded System

Abdoulaye Gamatié , Thierry Gautier
IEEE Transactions on Parallel and Distributed Systems, 2010, 21 (5), pp.641-657. ⟨10.1109/TPDS.2009.125⟩
Article dans une revue hal-00550056v1

A metamodel for the design of polychronous systems

Christian Brunette , Jean-Pierre Talpin , Abdoulaye Gamatié , Thierry Gautier
Journal of Logic and Algebraic Programming, 2009, 78 (4), pp.233-259. ⟨10.1016/j.jlap.2008.11.005⟩
Article dans une revue hal-00788580v1

Model-Driven Engineering and Formal Validation of High-Performance Embedded Systems

Abdoulaye Gamatié , Eric Rutten , Huafeng Yu , Pierre Boulet , Jean-Luc Dekeyser
Scalable Computing : Practice and Experience, 2009, 10 (2)
Article dans une revue inria-00565260v1
Image document

Synchronous Modeling and Analysis of Data Intensive Applications

Abdoulaye Gamatié , Eric Rutten , Huafeng Yu , Pierre Boulet , Jean-Luc Dekeyser
EURASIP Journal on Embedded Systems, 2008, 2008 (1), pp.561863. ⟨10.1155/2008/561863⟩
Article dans une revue hal-00784459v1

Polychronous design of embedded real-time applications

Abdoulaye Gamatié , Thierry Gautier , Paul Le Guernic , Jean-Pierre Talpin
ACM Transactions on Software Engineering and Methodology, 2007, 16 (2), ⟨10.1145/1217295.1217298⟩
Article dans une revue inria-00522799v1
Image document

Synchronous design of avionic applications based on model refinements

Abdoulaye Gamatié , Thierry Gautier , Paul Le Guernic
Journal of Embedded Computing, 2006, 2 (3-4), pp.273-289
Article dans une revue hal-00541523v1
Image document

Energy-efficient Hardware Reuse for Sustainable Data Centres

Ismael Samaye , Paul Leloup , Gilles Sassatelli , Abdoulaye Gamatié
Eco-ES 2023 - Workshop on Eco-design and circular economy of Electronic Systems@DATE 2023, Apr 2023, Antwerp, Belgium
Communication dans un congrès lirmm-04338733v1
Image document

Towards Sustainable Low Carbon Emission Mini Data Centres

Ismael Samaye , Paul Leloup , Gilles Sassatelli , Abdoulaye Gamatié
ComPAS 2023 - Conférence francophone d'informatique en Parallélisme, Architecture et Système, Jul 2023, Annecy, France
Communication dans un congrès lirmm-04338756v1
Image document

A Generative AI for Heterogeneous Network-on-Chip Design Space Pruning

Maxime Mirka , Maxime France-Pillois , Gilles Sassatelli , Abdoulaye Gamatié
DATE 2022 - 25th Design, Automation and Test in Europe Conference and Exhibition, Mar 2022, Antwerp, Belgium. pp.1135-1138, ⟨10.23919/DATE54114.2022.9774721⟩
Communication dans un congrès lirmm-03475912v1
Image document

Highly-Adaptive Mixed-Precision MAC Unit for Smart and Low-Power Edge Computing

Guillaume Devic , Maxime France-Pillois , Jérémie Salles , Gilles Sassatelli , Abdoulaye Gamatié
NEWCAS 2021 - 19th IEEE International New Circuits and Systems Conference, Jun 2021, Toulon (virtual), France. pp.1-4, ⟨10.1109/NEWCAS50681.2021.9462745⟩
Communication dans un congrès lirmm-03241639v1
Image document

Modeling and Analysis for Energy-Driven Computing using Statistical Model-Checking

Abdoulaye Gamatié , Gilles Sassatelli , Marius Mikučionis
DATE 2021 - 24th Design, Automation and Test in Europe Conference and Exhibition, Feb 2021, Grenoble (Virtual), France. pp.980-985, ⟨10.23919/DATE51398.2021.9474224⟩
Communication dans un congrès lirmm-03143143v1

L’impact énergétique des flux de données numériques

Thomas Leduc , Abdoulaye Gamatié , Daniel Siret , Gilles Sassatelli , Michel Robert
Colloque Energie du CNRS, Cellule énergie du CNRS, Dec 2021, Paris, France
Communication dans un congrès hal-03521821v1
Image document

GANNoC: A Framework for Automatic Generation of NoC Topologies using Generative Adversarial Networks

Maxime Mirka , Maxime France-Pillois , Gilles Sassatelli , Abdoulaye Gamatié
RAPIDO 2021 - 13th Workshop on Rapid Simulation and Performance Evaluation: Methods and Tools, Jan 2021, Budapest, Hungary. pp.51-58, ⟨10.1145/3444950.3447283⟩
Communication dans un congrès lirmm-03107918v2
Image document

Energy-Efficient Machine Learning on FPGA for Edge Devices: a Case Study

Guillaume Devic , Gilles Sassatelli , Abdoulaye Gamatié
ComPAS 2020 - Conférence en Parallélisme, Architecture et Système, Jun 2020, Lyon, France
Communication dans un congrès lirmm-03041276v2
Image document

Mapping Computations in Heterogeneous Multicore Systems with Statistical Regression on Inputs

Junio C R da Silva , Lorena Leão , Vinicius Petrucci , Abdoulaye Gamatié , Fernando Pereira
SBESC 2020 - 10th Brazilian Symposium on Computing Systems Engineering, Nov 2020, Virtual, Brazil. ⟨10.1109/SBESC51047.2020.9277863⟩
Communication dans un congrès lirmm-03018543v1
Image document

Online Learning for Dynamic Control of OpenMP Workloads

Maxime Mirka , Gilles Sassatelli , Abdoulaye Gamatié
MOCAST 2020 - 9th International Conference on Modern Circuits and Systems Technologies, Sep 2020, Bremen, Germany. ⟨10.1109/MOCAST49295.2020.9200292⟩
Communication dans un congrès hal-02565961v1
Image document

Évaluation de deux architectures matérielles dédiées à l'inférence basée sur des réseaux de neurones convolutifs

Guillaume Devic , Abdoulaye Gamatié , Gilles Sassatelli
ComPAS 2020 - Conférence en Parallélisme, Architecture et Système, Jun 2020, Lyon, France
Communication dans un congrès lirmm-03041267v2
Image document

A Flexible Power Crossbar-based Architecture for Software-Defined Power Domains

Francesco Di Gregorio , Gilles Sassatelli , Abdoulaye Gamatié , Arnaud Castelltort
EPE’20 ECCE Europe, Sep 2020, Lyon (virtual), France
Communication dans un congrès hal-02950802v1
Image document

Versatile Software Framework for the Monitoring and Control of Distributed Computing Systems

Francesco Di Gregorio , Etienne Dupuis , Arnaud Castelltort , Gilles Sassatelli , Abdoulaye Gamatié
EDiS 2020 - 2nd International Conference on Embedded & Distributed Systems, Apr 2020, Oran, Algeria. pp.117-122, ⟨10.1109/EDiS49545.2020.9296483⟩
Communication dans un congrès halshs-02566036v2
Image document

Automatic Energy-Efficiency Monitoring of OpenMP Workloads

Maxime Mirka , Guillaume Devic , Florent Bruguier , Gilles Sassatelli , Abdoulaye Gamatié
ReCoSoC 2019 - 14th International Symposium on Reconfigurable Communication-centric Systems-on-Chip, Jul 2019, York, United Kingdom. pp.43-50, ⟨10.1109/ReCoSoC48741.2019.9034988⟩
Communication dans un congrès lirmm-02183901v1
Image document

Compiler-assisted adaptive program scheduling in big.LITTLE systems

Marcelo Novaes , Vinicius Petrucci , Abdoulaye Gamatié , Fernando Magno Quintão Pereira
PPoPP 2019 - 24th Symposium on Principles and Practice of Parallel Programming, Feb 2019, Washington, United States. pp.429-430, ⟨10.1145/3293883.3301493⟩
Communication dans un congrès lirmm-02100287v1
Image document

Exploration of Energy-Proportional Distributed Systems

Francesco Di Gregorio , Abdoulaye Gamatié , Gilles Sassatelli , Arnaud Castelltort , Michel Robert
13e Colloque National du GDR SOC², Jun 2019, Montpellier, France
Communication dans un congrès hal-03326292v1
Image document

Energy-Efficient Memory Mappings based on Partial WCET Analysis and Multi-Retention Time STT-RAM

Rabab Bouziane , Erven Rohou , Abdoulaye Gamatié
RTNS: Real-Time Networks and Systems, Oct 2018, Poitiers, France. pp.148-158, ⟨10.1145/3273905.3273908⟩
Communication dans un congrès hal-01871320v1
Image document

Improving the Performance of STT-MRAM LLC through Enhanced Cache Replacement Policy

Pierre-Yves Péneau , David Novo , Florent Bruguier , Lionel Torres , Gilles Sassatelli
ARCS: Architecture of Computing Systems, Apr 2018, Braunschweig, Germany. pp.168-180, ⟨10.1007/978-3-319-77610-1_13⟩
Communication dans un congrès lirmm-01669254v2
Image document

Compile-Time Silent-Store Elimination for Energy Efficiency: an Analytic Evaluation for Non-Volatile Cache Memory

Rabab Bouziane , Erven Rohou , Abdoulaye Gamatié
RAPIDO: Rapid Simulation and Performance Evaluation, HiPEAC, Jan 2018, Manchester, United Kingdom. pp.1-8, ⟨10.1145/3180665.3180666⟩
Communication dans un congrès hal-01660686v1
Image document

Evaluation of Heterogeneous Multicore Cluster Architectures Designed for Mobile Computing

David Novo , Alejandro Nocua , Florent Bruguier , Abdoulaye Gamatié , Gilles Sassatelli
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449376⟩
Communication dans un congrès lirmm-01871273v1
Image document

A Compiler-Centric Infra-Structure for Whole-Board Energy Measurement on Heterogeneous Android Systems

Junio Cezar Ribeiro da Silva , Fernando Magno Quintão Pereira , Michael Frank , Abdoulaye Gamatié
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2018, Lille, France. ⟨10.1109/ReCoSoC.2018.8449378⟩
Communication dans un congrès lirmm-01912850v1
Image document

Main memory organization trade-offs with DRAM and STT-MRAM options based on gem5-NVMain simulation frameworks

Manu Komalan , Oh Hyung Rock , Matthias Hartmann , Sushil Sakhare , Christian Tenllado
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.103-108, ⟨10.23919/DATE.2018.8341987⟩
Communication dans un congrès lirmm-01912824v1
Image document

Partial Worst-Case Execution Time Analysis

Rabab Bouziane , Erven Rohou , Abdoulaye Gamatié
ComPAS: Conférence en Parallélisme, Architecture et Système, Jul 2018, Toulouse, France. pp.1-8
Communication dans un congrès hal-01803006v1
Image document

Using multifunctional standardized stack as universal spintronic technology for IoT

Mehdi B. Tahoori , Sarath Mohanachandran Nair , Rajendra Bishnoi , Sophiane Senni , Jad Mohdad
DATE 2018 - 21st Design, Automation and Test in Europe Conference and Exhibition, Mar 2018, Dresden, Germany. pp.931-936, ⟨10.23919/DATE.2018.8342143⟩
Communication dans un congrès hal-01864468v1
Image document

How Could Compile-Time Program Analysis help Leveraging Emerging NVM Features?

Rabab Bouziane , Erven Rohou , Abdoulaye Gamatié
EDiS: Embedded and Distributed Systems, Dec 2017, Oran, Algeria. pp.1-6, ⟨10.1109/EDIS.2017.8284031⟩
Communication dans un congrès hal-01655195v1

Roundabout: A Network-on-Chip router with adaptive buffer sharing

Charles Emmanuel Effiong , Gilles Sassatelli , Abdoulaye Gamatié
NEWCAS: New Circuits and Systems Conference, Jun 2017, Strasbourg, France. pp.65-68, ⟨10.1109/NEWCAS.2017.8010106⟩
Communication dans un congrès lirmm-01622878v1
Image document

MAGPIE: System-level Evaluation of Manycore Systems with Emerging Memory Technologies

Thibaud Delobelle , Pierre-Yves Péneau , Abdoulaye Gamatié , Florent Bruguier , Sophiane Senni
EMS: Emerging Memory Solutions, Mar 2017, Lausanne, Switzerland
Communication dans un congrès lirmm-01467328v1
Image document

Performance and Energy Assessment of Last-Level Cache Replacement Policies

Pierre-Yves Péneau , David Novo , Florent Bruguier , Gilles Sassatelli , Abdoulaye Gamatié
EDiS: Embedded and Distributed Systems, Dec 2017, Oran, Algeria. ⟨10.1109/EDIS.2017.8284032⟩
Communication dans un congrès lirmm-01651247v1

Scalable and Power-Efficient Implementation of an Asynchronous Router with Buffer Sharing

Charles Emmanuel Effiong , Gilles Sassatelli , Abdoulaye Gamatié
DSD: Digital System Design, Aug 2017, Vienna, Australia. pp.171-178, ⟨10.1109/DSD.2017.55⟩
Communication dans un congrès lirmm-01622885v1
Image document

Efficient Programming for Multicore Processor Heterogeneity: OpenMP versus OmpSs

Anastasiia Butko , Florent Bruguier , Abdoulaye Gamatié , Gilles Sassatelli
OpenSuCo, Jun 2017, Frankfurt, Germany
Communication dans un congrès lirmm-01723762v1
Image document

ElasticSimMATE: a Fast and Accurate gem5 Trace-Driven Simulator for Multicore Systems

Alejandro Nocua , Florent Bruguier , Gilles Sassatelli , Abdoulaye Gamatié
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jul 2017, Madrid, Spain. ⟨10.1109/ReCoSoC.2017.8016146⟩
Communication dans un congrès hal-01723789v1

Distributed and Dynamic Shared-Buffer Router for High-Performance Interconnect

Charles Emmanuel Effiong , Gilles Sassatelli , Abdoulaye Gamatié
NOCS: Networks-on-Chip Symposium, Oct 2017, Seoul, South Korea. pp.1-8, ⟨10.1145/3130218.3130223⟩
Communication dans un congrès lirmm-01622889v1
Image document

Embedded systems to high performance computing using STT-MRAM

Sophiane Senni , Thibaud Delobelle , Odilia Coi , Pierre-Yves Péneau , Lionel Torres
DATE 2017 - 20th Design, Automation and Test in Europe Conference and Exhibition, Mar 2017, Lausanne, Switzerland. pp.536-541, ⟨10.23919/DATE.2017.7927046⟩
Communication dans un congrès lirmm-01548996v1

Design space exploration for complex automotive applications: an engine control system case study

Khalid Latif , Manuel Selva , Charles Emmanuel Effiong , Roman Ursu , Abdoulaye Gamatié
RAPIDO: Rapid Simulation and Performance Evaluation, Jan 2016, Prague, Czech Republic. ⟨10.1145/2852339.2852341⟩
Communication dans un congrès lirmm-01265891v1
Image document

Flot automatique d’évaluation pour l’exploration d’architectures à base de mémoires non volatiles

Thibaud Delobelle , Pierre-Yves Péneau , Sophiane Senni , Florent Bruguier , Abdoulaye Gamatié
ComPAS: Conférence en Parallélisme, Architecture et Système, Jul 2016, Lorient, France
Communication dans un congrès lirmm-01345975v1
Image document

A Workflow for Fast Evaluation of Mapping Heuristics Targeting Cloud Infrastructures

Roman Ursu , Khalid Latif , David Novo , Manuel Selva , Abdoulaye Gamatié
DREAMCloud: Dynamic Resource Allocation and Management in Embedded, High Performance and Cloud Computing, Jan 2016, Prague, Czech Republic
Communication dans un congrès lirmm-01265874v1
Image document

Full-System Simulation of big.LITTLE Multicore Architecture for Performance and Energy Exploration

Anastasiia Butko , Florent Bruguier , Abdoulaye Gamatié , Gilles Sassatelli , David Novo
MCSoC: Embedded Multicore/Many-core Systems-on-Chip, Sep 2016, Lyon, France. pp.201-208, ⟨10.1109/MCSoC.2016.20⟩
Communication dans un congrès lirmm-01418745v1

Performance Prediction of Application Mapping in Manycore Systems with Artificial Neural Networks

Abdoulaye Gamatié , Roman Ursu , Manuel Selva , Gilles Sassatelli
MCSoC: Embedded Multicore/Many-core Systems-on-Chip, Sep 2016, Lyon, France. pp.185-192, ⟨10.1109/MCSoC.2016.17⟩
Communication dans un congrès lirmm-01385641v1
Image document

Loop Optimization in Presence of STT-MRAM Caches: a Study of Performance-Energy Tradeoffs

Pierre-Yves Péneau , Rabab Bouziane , Abdoulaye Gamatié , Erven Rohou , Florent Bruguier
PATMOS: Power and Timing Modeling, Optimization and Simulation, Sep 2016, Bremen, Germany. pp.162-169, ⟨10.1109/PATMOS.2016.7833682⟩
Communication dans un congrès hal-01347354v1
Image document

Speed and Accuracy Dilemma in NoC Simulation: What about Memory Impact?

Manuel Selva , Abdoulaye Gamatié , David Novo , Gilles Sassatelli
ReCoSoC: Reconfigurable Communication-centric Systems-on-Chip, Jun 2016, Tallinn, Estonia
Communication dans un congrès lirmm-01332702v1
Image document

OpenMP scheduling on ARM big.LITTLE architecture

Anastasiia Butko , Louisa Bessad , David Novo , Florent Bruguier , Abdoulaye Gamatié
MULTIPROG 2016 - 9th International Workshop on Programmability and Architectures for Heterogeneous Multicores, HIPEAC, Jan 2016, Prague, Czech Republic
Communication dans un congrès lirmm-01377630v1
Image document

An Integrated Framework for Model-Based Design and Analysis of Automotive Multi-Core Systems

Khalid Latif , Charles Emmanuel Effiong , Abdoulaye Gamatié , Gilles Sassatelli , Leonardo B. Zordan
FDL: Forum on specification & Design Languages, Sep 2015, Barcelona, Spain
Communication dans un congrès lirmm-01418748v1
Image document

Design Exploration for next Generation High-Performance Manycore On-chip Systems: Application to big.LITTLE Architectures

Anastasiia Butko , Abdoulaye Gamatié , Gilles Sassatelli , Lionel Torres , Michel Robert
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.551-556, ⟨10.1109/ISVLSI.2015.28⟩
Communication dans un congrès lirmm-01255927v1

For a Design Continuum to Build Next Generation Energy-Efficient Compute Nodes

Abdoulaye Gamatié
EDiS: Embedded and Distributed Systems, Nov 2015, Oran, Algeria
Communication dans un congrès lirmm-01419151v1
Image document

Potential Applications Based on NVM Emerging Technologies

Sophiane Senni , Lionel Torres , Gilles Sassatelli , Abdoulaye Gamatié , Bruno Mussard
DATE 2015 - 18th Design, Automation and Test in Europe Conference and Exhibition, Mar 2015, Grenoble, France. pp.1012-1017, ⟨10.7873/DATE.2015.1120⟩
Communication dans un congrès lirmm-01253332v1
Image document

A trace-driven approach for fast and accurate simulation of manycore architectures

Anastasiia Butko , Rafael Garibotti , Luciano Ost , Chris Adeniyi-Jones , Vianney Lapotre
ASP-DAC: Asia and South Pacific Design Automation Conference, Jan 2015, Chiba, Tokyo, Japan. pp.707-712, ⟨10.1109/ASPDAC.2015.7059093⟩
Communication dans un congrès lirmm-01255921v1

On the Performance Exploration of 3D NoCs with Resistive-Open TSVs

Charles Emmanuel Effiong , Vianney Lapotre , Abdoulaye Gamatié , Gilles Sassatelli , Aida Todri-Sanial
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.579-584, ⟨10.1109/ISVLSI.2015.49⟩
Communication dans un congrès lirmm-01248588v1

Discrete Control-Based Design of Adaptive and Autonomic Computing Systems

Xin An , Gwenaël Delaval , Jean-Philippe Diguet , Abdoulaye Gamatié , Soguy Mak-Karé Gueye
ICDCIT: International Conference on Distributed Computing and Internet Technology, Feb 2015, Bhubaneswar, India. ⟨10.1007/978-3-319-14977-6_6⟩
Communication dans un congrès hal-01116015v1
Image document

Emerging Non-volatile Memory Technologies Exploration Flow for Processor Architecture

Sophiane Senni , Lionel Torres , Gilles Sassatelli , Abdoulaye Gamatié , Bruno Mussard
ISVLSI: International Symposium on Very Large Scale Integration, Jul 2015, Montpellier, France. pp.460-465, ⟨10.1109/ISVLSI.2015.126⟩
Communication dans un congrès lirmm-01253337v1

Performance exploration of partially connected 3D NoCs under manufacturing variability

Anelise Kologeski , Fernanda Lima Kastensmidt , Vianney Lapotre , Abdoulaye Gamatié , Gilles Sassatelli
NEWCAS: New Circuits and Systems, Jun 2014, Trois-Rivieres, QC, Canada. pp.61-64, ⟨10.1109/NEWCAS.2014.6933985⟩
Communication dans un congrès lirmm-01248595v1

Autonomic Management of Dynamically Partially Reconfigurable FPGA Architectures Using Discrete Control

Xin An , Eric Rutten , Jean-Philippe Diguet , Nicolas Le Griguer , Abdoulaye Gamatié
ICAC: International Conference on Autonomic Computing, Jun 2013, San Jose CA, United States
Communication dans un congrès hal-00852849v1

Discrete Control for Reconfigurable FPGA-based Embedded Systems

Xin An , Eric Rutten , Jean-Philippe Diguet , Nicolas Le Griguer , Abdoulaye Gamatié
DCDS: Dependable Control of Discrete Systems, Sep 2013, York, United Kingdom
Communication dans un congrès hal-00862489v1

Design of Streaming Applications on MPSoCs using Abstract Clocks

Abdoulaye Gamatié
Design, Automation and Test in Europe Conference (DATE'2012), Mar 2012, Dresden, Germany
Communication dans un congrès hal-00665084v1
Image document

Transformation-based Exploration of Data-Parallel Architecture for Customizable Hardware: A JPEG Encoder Case Study

Rosilde Corvino , Erkan Diken , Abdoulaye Gamatié , Lech Jozwiak
Euromicro Conference on Digital System Design (DSD 2012), Sep 2012, Cesme, Izmir, Turkey
Communication dans un congrès hal-00758161v1

Abstract Clocks for the DSE of Data-Intensive Applications on MPSoCs

Rosilde Corvino , Abdoulaye Gamatié
10th IEEE International Symposium on Parallel and Distributed Processing with Applications (ISPA), Jul 2012, Leganés, Madrid, Spain. pp.729 -736
Communication dans un congrès hal-00758165v1

Design of streaming applications on MPSoCs using abstract clocks

Abdoulaye Gamatié
Design, Automation & Test in Europe Conference & Exhibition (DATE), 2012, Mar 2012, Dresden, France. pp.763-768
Communication dans un congrès hal-00758182v1

CLASSY: a clock analysis system for rapid prototyping of embedded applications on MPSoCs

Xin An , Sarra Boumedien , Abdoulaye Gamatié , Eric Rutten
Proceedings of the 15th International Workshop on Software and Compilers for Embedded Systems, May 2012, St. Goar, Germany. pp.3--12, ⟨10.1145/2236576.2236577⟩
Communication dans un congrès hal-00758194v1
Image document

Design Space Exploration in Application-Specific Hardware Synthesis for Multiple Communicating Nested Loops

Rosilde Corvino , Abdoulaye Gamatié , Marc Geilen , Lech Jozwiak
International Conference on Embedded Computer Systems: Architectures, Modeling, and Simulation (SAMOS XII), Jul 2012, Samos, Greece
Communication dans un congrès hal-00758159v1

SMT based false causal loop detection during code synthesis from Polychronous specifications

Bijoy Anthony Jose , Abdoulaye Gamatié , Julien Ouy , Sandeep Kumar Shukla
9th IEEE/ACM International Conference on Formal Methods and Models for Codesign (MEMOCODE), Jul 2011, Cambridge, United Kingdom. ⟨10.1109/MEMCOD.2011.5970517⟩
Communication dans un congrès inria-00637574v1
Image document

Safe Design of Dynamically Reconfigurable Embedded Systems

Xin An , Abdoulaye Gamatié , Eric Rutten
2nd Workshop on Model Based Engineering for Embedded Systems Design (M-BED2011), Mar 2011, France. pp.00 -- 00
Communication dans un congrès hal-00903734v1

Static analysis of synchronous programs in signal for efficient design of multi-clocked embedded systems

Abdoulaye Gamatié , Laure Gonnord
ACM SIGPLAN/SIGBED conference on Languages, compilers, and tools for embedded systems, LCTES 2011, Apr 2011, Chicago, IL, United States. pp.71-80, ⟨10.1145/1967677.1967688⟩
Communication dans un congrès inria-00586137v1

Correct and Energy-Efficient Design of SoCs: the H.264 Encoder Case Study

Adolf Abdallah , Abdoulaye Gamatié , Jean-Luc Dekeyser
International Symposium on System-on-Chip (SoC'2010), Sep 2010, Tampere, Finland
Communication dans un congrès inria-00522792v1
Image document

Modeling of Configurations for Embedded System Implementations in MARTE

Imran Rafiq Quadri , Abdoulaye Gamatié , Pierre Boulet , Jean-Luc Dekeyser
1st workshop on Model Based Engineering for Embedded Systems Design - Design, Automation and Test in Europe (DATE 2010), Mar 2010, Dresden, Germany
Communication dans un congrès inria-00486845v1
Image document

Architecture Exploration for Efficient Data Transfer and Storage in Data-Parallel Applications

Rosilde Corvino , Abdoulaye Gamatié , Pierre Boulet
Euro-Par - 16th International Euro-Par Conference - 2010, Aug 2010, Ischia, Italy. pp.101--116, ⟨10.1007/978-3-642-15277-1_11⟩
Communication dans un congrès inria-00522786v1
Image document

Operational Semantics of the Marte Repetitive Structure Modeling Concepts for Data-Parallel Applications Design

Abdoulaye Gamatié , Vlad Rusu , Éric Rutten
9th International Symposium on Parallel and Distributed Computing (ISPDC'2010), Jul 2010, Istanbul, Turkey
Communication dans un congrès inria-00522787v1

A Generic Formal Model for RTOS: Synchronous Approach for Rapid Virtual Prototyping

Abdoulaye Gamatié
10th African Conference on Research in Computer Science and Applied Mathematics (CARI'2010), Oct 2010, Yamoussoukro, Côte d’Ivoire
Communication dans un congrès inria-00522793v1
Image document

Tutorial: Using the UML profile for MARTE to MPSoC co-design dedicated to signal processing

Imran Rafiq Quadri , Abdoulaye Gamatié , Jean-Luc Dekeyser
Colloque International Télécom'2009 & 6èmes JFMMA, Mar 2009, Agadir, Morocco
Communication dans un congrès inria-00525012v1

A Case Study on Controller Synthesis for Data-Intensive Embedded Systems

Abdoulaye Gamatié , Huafeng Yu , Gwenaël Delaval , Éric Rutten
International Conference on Embedded Software and Systems (ICESS), May 2009, Hangzhou, China. pp.75-82, ⟨10.1109/ICESS.2009.12⟩
Communication dans un congrès hal-00838928v1
Image document

MARTE-based Design of a Multimedia Application and Formal Analysis

Adolf Abdallah , Abdoulaye Gamatié , Jean-Luc Dekeyser
FDL 2008, Sep 2008, Stuttgart, Germany. pp.6
Communication dans un congrès inria-00567972v1

Using the UML Profile for MARTE to MPSoC Co-Design

Jean-Luc Dekeyser , Abdoulaye Gamatié , Anne Etien , Rabie Ben Atitallah , Pierre Boulet
First International Conference on Embedded Systems & Critical Applications (ICESCA'08), May 2008, Tunis, Tunisia
Communication dans un congrès inria-00524363v1
Image document

Polychronous mode automata

Jean-Pierre Talpin , Christian Brunette , Thierry Gautier , Abdoulaye Gamatié
EMSOFT '06, Oct 2006, Seoul, South Korea. pp.83-92, ⟨10.1145/1176887.1176900⟩
Communication dans un congrès hal-00541469v1
Image document

Toward Static Analysis of SIGNAL Programs using Interval Techniques

Abdoulaye Gamatié , Thierry Gautier , Paul Le Guernic
Synchronous Languages, Applications, and Programming (SLAP 2006), Mar 2006, Vienna, Austria. pp.SLAP 2006
Communication dans un congrès hal-00544123v1
Image document

Vers des transformations d'applications à parallélisme de données en équations synchrones

Huafeng Yu , Abdoulaye Gamatié , Eric Rutten , Pierre Boulet , Jean-Luc Dekeyser
9ème édition de SYMPosium en Architectures nouvelles de machines, Oct 2006, Perpignan, France
Communication dans un congrès inria-00124125v1

Synchronous Modeling of Data-Intensive Applications

Huafeng Yu , Abdoulaye Gamatié , Eric Rutten , Pierre Boulet , Jean-Luc Dekeyser
International Open Workshop on Synchronous Programming (Synchron 2006), 2006, Alpe d'Huez, France
Communication dans un congrès inria-00565163v1
Image document

Conception synchrone d'applications avioniques par raffinement de modèles

Abdoulaye Gamatié , Thierry Gauthier , Paul Le Guernic
13th INTERNATIONAL CONFERENCE ON REAL-TIME SYSTEMS, Apr 2005, Paris, France. pp.00 -- 00
Communication dans un congrès hal-00879445v1
Image document

An Example of Synchronous Design of Embedded Real-Time Systems based on IMA

Abdoulaye Gamatié , Thierry Gautier , Paul Le Guernic
10th International Conference on Real-time and Embedded Computing Systems and Applications (RTCSA 2004), Aug 2004, Gothenburg, Sweden. pp.RTCSA 2004
Communication dans un congrès hal-00541790v1
Image document

A Behavioral Type Inference System for Compositional System-on-Chip Design

Jean-Pierre Talpin , David Berner , Sandeep Shukla , Paul Le Guernic , Abdoulaye Gamatié
Fourth International Conference on Application of Concurrency to System Design (ACSD'04), Jun 2004, Hamilton, Ontario, Canada. pp.47-56, ⟨10.1109/CSD.2004.1309115⟩
Communication dans un congrès hal-00542146v1
Image document

Synchronous modeling of avionics applications using the SIGNAL language

Abdoulaye Gamatié , Thierry Gautier
9th IEEE Real-Time and Embedded Technology and Applications Symposium (RTAS 2003), May 2003, Toronto, Canada. pp.144-151, ⟨10.1109/RTTAS.2003.1203046⟩
Communication dans un congrès hal-00541841v1
Image document

The SIGNAL Approach to the Design of System Architectures

Abdoulaye Gamatié , Thierry Gautier
10th IEEE International Conference and Workshop on the Engineering of Computer-Based Systems (ECBS'03), Apr 2003, Huntsville, Alabama, United States. pp.80-88, ⟨10.1109/ECBS.2003.1194786⟩
Communication dans un congrès hal-00541913v1
Image document

Energy-Efficiency Metric for Real-Time Monitoring of OpenMP Programs Executing on Multicore Systems

Maxime Mirka , Gilles Sassatelli , Abdoulaye Gamatié
13e Colloque National du GDR SOC², Jun 2019, Montpellier, France
Poster de conférence lirmm-03326276v2

Multithreading for Compute Accelerators Through Distributed Shared Memory Design

Rafael Garibotti , Luciano Ost , Abdoulaye Gamatié , Vianney Lapotre , Chris Adeniyi-Jones
DAC: Design Automation Conference, Jun 2014, San Francisco, United States. IEEE Design Automation Conference, 2014, Work-in-Progress Session
Poster de conférence lirmm-01419120v1

Computing in Research and Development in Africa

Abdoulaye Gamatié
Abdoulaye Gamatié. Springer International Publishing Switzerland, 1, 2015, 978-3-319-08238-7 (Print) 978-3-319-08239-4 (Online). ⟨10.1007/978-3-319-08239-4⟩
Ouvrages lirmm-01385649v1

Modélisation des systèmes réactifs MSR 2011

Abdoulaye Gamatié , Etienne Craye
Abdoulaye Gamatié and Étienne Craye. Lavoisier, 45/1-3, pp.268, 2011, Journal Européen des Systèmes Automatisés
Ouvrages hal-00849952v1

Designing Embedded Systems with the SIGNAL Programming Language: Synchronous, Reactive Specification

Abdoulaye Gamatié
Springer, New York, pp.260, 2009, 978-1-4419-0940-4
Ouvrages inria-00522798v1
Image document

Models for Co-Design of Heterogeneous Dynamically Reconfigurable SoCs

Jean-Luc Dekeyser , Abdoulaye Gamatié , Samy Meftali , Imran Rafiq Quadri
Nicolescu, Gabriela; O'Connor, Ian; Piguet, Christian. Heterogeneous Embedded Systems - Design Theory and Practice, Springer, 26 p., 2012
Chapitre d'ouvrage inria-00525023v1

Design Space Exploration for Efficient Data Intensive Computing on SoCs

Rosilde Corvino , Abdoulaye Gamatié , Pierre Boulet
Borko Furht and Armando Escalante. Handbook of Data Intensive Computing, Springer, 2011
Chapitre d'ouvrage inria-00637012v1

Specification of Data Intensive Applications with Data Dependency and Abstract Clocks

Abdoulaye Gamatié
Borko Furht and Armando Escalante. Handbook of Data Intensive Computing, Springer, 2011
Chapitre d'ouvrage inria-00637011v1
Image document

Deliverable D5.2 – Performance and power consumption evaluation of compute node

Stefano Bernabovi , Michael Chapman , Philippe Naudin , Guillaume Devic , Abdoulaye Gamatié
[Research Report] Cortus S.A.S; LIRMM (UM, CNRS). 2020
Rapport lirmm-03168364v1
Image document

Deliverable D5.1 – Technical description of the holistic design flow in CONTINUUM

Rabab Bouziane , Erven Rohou , Florent Bruguier , Guillaume Devic , Abdoulaye Gamatié
[Research Report] Inria Rennes – Bretagne Atlantique; LIRMM (UM, CNRS); Cortus S.A.S. 2019
Rapport lirmm-03168363v1
Image document

Deliverable D4.2 – Preliminary design specifications of the adaptive compute node

Stefano Bernabovi , Michael Chapman , Philippe Naudin , Guillaume Devic , Abdoulaye Gamatié
[Research Report] Cortus S.A.S; LIRMM (UM, CNRS). 2019
Rapport lirmm-03168361v1
Image document

CONTINUUM ANR Project -- Summary of Project Achievements

Abdoulaye Gamatié
[Research Report] LIRMM (UM, CNRS); Inria Rennes - Bretagne Atlantique; Cortus S.A.S. 2019
Rapport lirmm-02157312v1
Image document

Design Exploration Framework for 3D-NoC Multicore Systems under Process Variability at RTL level

Charles Emmanuel Effiong , Abdoulaye Gamatié , Gilles Sassatelli
[Research Report] LIRMM (UM, CNRS). 2018
Rapport lirmm-01870671v1
Image document

Deliverable D2.2 – Description of a specific optimization for low power

Rabab Bouziane , Erven Rohou , Abdoulaye Gamatié
[Research Report] Inria Rennes - Bretagne Atlantique; LIRMM (UM, CNRS). 2017
Rapport lirmm-03168308v1
Image document

Deliverable D3.2 - Evaluation of selected memory and communication technologies and exploitation opportunities in compilation and runtime management

Florent Bruguier , Thibaud Delobelle , Charles Emmanuel Effiong , Abdoulaye Gamatié , Pierre-Yves Péneau
[Research Report] LIRMM (UM, CNRS); Inria Rennes – Bretagne Atlantique. 2017
Rapport lirmm-03168318v1
Image document

Deliverable D3.1 – Novel memory and communication technologies

Abdoulaye Gamatié , Pierre-Yves Péneau , Gilles Sassatelli , Sophiane Senni , Lionel Torres
[Research Report] LIRMM (UM, CNRS). 2016
Rapport lirmm-03168312v1
Image document

Deliverable D4.1 – State of the art on performance and power estimation of embedded and high-performance cores

Anastasiia Butko , Abdoulaye Gamatié , Gilles Sassatelli , Stefano Bernabovi , Michael Chapman
[Research Report] LIRMM (UM, CNRS); Cortus S.A.S. 2016
Rapport lirmm-03168326v1
Image document

Enhancing the Compilation of Synchronous Dataflow Programs with a Combined Numerical-Boolean Abstraction

Paul Feautrier , Abdoulaye Gamatié , Laure Gonnord
2013
Rapport hal-00780521v2
Image document

Autonomic Management of Reconfigurable Embedded Systems using Discrete Control: Application to FPGA

Xin An , Eric Rutten , Jean-Philippe Diguet , Nicolas Le Griguer , Abdoulaye Gamatié
[Research Report] RR-8308, INRIA. 2013
Rapport hal-00824225v3
Image document

CLASSY: a Clock Analysis System for Rapid Prototyping of Embedded Applications on MPSoCs

Xin An , Sarra Boumedien , Abdoulaye Gamatié , Eric Rutten
[Research Report] RR-7918, INRIA. 2012, pp.23
Rapport hal-00683822v1
Image document

Improved False Causal Loop Detection in Polychronous Specificationof Embedded Software

Bijoy Anthony Jose , Abdoulaye Gamatié , Matthew Kracht , Sandeep Kumar Shukla
[Research Report] 2011, pp.28
Rapport inria-00637582v1
Image document

Correct and Energy-Efficient Design of a Multimedia Application on SoCs

Adolf Abdallah , Abdoulaye Gamatié , Rabie Ben Atitallah , Jean-Luc Dekeyser
[Research Report] RR-7715, INRIA. 2011
Rapport inria-00616223v1
Image document

A Model Driven Design Framework for High Performance Embedded Systems

Abdoulaye Gamatié , Sébastien Le Beux , Éric Piel , Anne Etien , Rabie Ben Atitallah
[Research Report] RR-6614, INRIA. 2008, pp.47
Rapport inria-00311115v1
Image document

A Model for the Mixed-Design of Data-Intensive and Control-Oriented Embedded Systems

Abdoulaye Gamatié , Eric Rutten , Huafeng Yu
[Research Report] RR-6589, INRIA. 2008, pp.26
Rapport inria-00293909v2
Image document

Model Transformations from a Data Parallel Formalism towards Synchronous Languages

Huafeng Yu , Abdoulaye Gamatié , Eric Rutten , Jean-Luc Dekeyser
[Research Report] RR-6291, INRIA. 2007
Rapport inria-00172302v2
Image document

Synchronous Modeling of Data Intensive Applications

Abdoulaye Gamatié , Eric Rutten , Huafeng Yu , Pierre Boulet , Jean-Luc Dekeyser
[Research Report] RR-5876, INRIA. 2006, pp.21
Rapport inria-00001216v1
Image document

Polychronous Design of Embedded Real-Time Systems

Abdoulaye Gamatié , Thierry Gautier , Paul Le Guernic , Jean-Pierre Talpin
[Research Report] RR-5509, INRIA. 2005
Rapport inria-00070498v1
Image document

A Modeling Paradigm for Integrated Modular Avionics Design

Christian Brunette , Romain Delamare , Abdoulaye Gamatié , Thierry Gautier , Jean-Pierre Talpin
[Research Report] RR-5715, INRIA. 2005, pp.38
Rapport inria-00070302v1
Image document

A Modeling Paradigm for Integrated Modular Avionics Design

Christian Brunette , Romain Delamare , Abdoulaye Gamatié , Thierry Gautier , Jean-Pierre Talpin
[Research Report] PI 1748, 2005, pp.39
Rapport inria-00000420v1
Image document

Behavioral type inference for compositional system design

Jean-Pierre Talpin , David Berner , Paul Le Guernic , Abdoulaye Gamatié , Rajesh Gupta
[Research Report] RR-5141, INRIA. 2004
Rapport inria-00071442v1
Image document

Hard real-time implementation of embedded software in JAVA

Jean-Pierre Talpin , Abdoulaye Gamatié , David Berner , Bruno Le Dez , Paul Le Guernic
[Research Report] RR-5020, INRIA. 2003
Rapport inria-00071564v1
Image document

Component-based engineering of real-time JAVA : applications on a polychronous design platform

Jean-Pierre Talpin , Bruno Le Dez , Abdoulaye Gamatié , Paul Le Guernic , David Berner
[Research Report] RR-4744, INRIA. 2003
Rapport inria-00071843v1
Image document

Synchronous Modeling of Modular Avionics Architectures using the SIGNAL Language

Abdoulaye Gamatié , Thierry Gautier
[Research Report] RR-4678, INRIA. 2002
Rapport inria-00071907v1