Accéder directement au contenu

Abdelkader Souifi

45
Documents

Présentation

**Principaux thèmes de recherches :** \- **Depuis 2008** : Intégration 3D et caractérisation de dispositifs nanoélectroniques sur silicium : Transistors, Mémoires et Capteurs en Back-End-Off-Line CMOS. \- **Depuis 2000 :** Caractérisation électrique de dispositifs en technologie CMOS avancées. **-** **1990 – 2000 :** Etude des propriétés électroniques par caractérisations électriques, optiques et électro-optiques de dispositifs électroniques et opto-électroniques à base d’hétérostructures et nanostructures:

Publications

Image document

Ge content optimization in Ge(SbSe)$_{1-x}$N OTS materials for selector applications

Camille Laguna , Mathieu Bernard , Frederic Fillot , Denis Rouchon , Nevine Rochat
IEEE Transactions on Electron Devices, 2022, 69 (11), pp.6277-6283. ⟨10.1109/TED.2022.3203368⟩
Article dans une revue cea-03938734v1

O-Band Emitting InAs Quantum Dots Grown by MOCVD on a 300 mm Ge-Buffered Si (001) Substrate

Oumaima Abouzaid , Hussein Mehdi , Mickael Martin , Jérémy Moeyaert , Bassem Salem
Nanomaterials, 2020, 10 (12), pp.2450. ⟨10.3390/nano10122450⟩
Article dans une revue hal-03185296v1
Image document

Performance improvement of plasmonic sensors using a combination of AC electrokinetic effects for (bio)target capture

Quentin Avenas , Julien Moreau , Marion Costella , Arbi Maalaoui , Abdelkader Souifi
Electrophoresis, 2019, 40, pp.1426 - 1435. ⟨10.1002/elps.201800436⟩
Article dans une revue hal-02073261v1

Add-On Microchannels for Hotspot Thermal Management of Microelectronic Chips in Compact Applications

Louis-Michel Collin , Jean-Philippe Colonna , Perceval Coudrain , Mahmood Shirazy , Séverine Chéramy
IEEE Transactions on Components Packaging and Manufacturing Technology Part B, 2019, 9 (3), pp.434-445. ⟨10.1109/TCPMT.2018.2874241⟩
Article dans une revue hal-02073358v1

Indium-oxide nanoparticles for RRAM devices compatible with CMOS back-end-off-line

Edgar A.A. León Pérez , Pierre-Vincent Guenery , Oumaïma Abouzaid , Khaled Ayadi , Solene Brottet
Solid-State Electronics, 2018, 143, pp.20-26. ⟨10.1016/j.sse.2017.11.011⟩
Article dans une revue hal-01948065v1

Ultrahigh-Sensitive CMOS pH Sensor Developed in the BEOL of Standard 28 nm UTBB FDSOI

Getenet Tesega Ayele , Stéphane Monfray , Serge Ecoffey , Frédéric Boeuf , Jean-Pierre Cloarec
IEEE Journal of the Electron Devices Society, 2018, 6, pp.1026 - 1032. ⟨10.1109/JEDS.2018.2861622⟩
Article dans une revue hal-01895308v1

Ion beam synthesis of indium-oxide nanocrystals for improvement of oxide resistive random-access memories

Caroline Bonafos , Gérard Benassayag , Robin Cours , Béatrice Pécassou , Pierre-Vincent Guenery
Materials Research Express, 2018, 5, pp.015027. ⟨10.1088/2053-1591/aaa30b⟩
Article dans une revue hal-01701543v1

High temperature and voltage dependent electrical and dielectric properties of TiN/Al2O3/p-Si MIS structure

S. Hlali , A. Fargi , N. Hizem , Liviu Militaru , A. Kalboussi
Journal of Alloys and Compounds, 2017, 713, pp.194
Article dans une revue hal-01701530v1

Fabrication of Planar Back End of Line Compatible HfOx Complementary Resistive Switches

Marina Labalette , S. Jeannot , S. Blonkowski , Y. Beilliard , S. Ecoffey
IEEE Transactions on Nanotechnology, 2017, 16 (5), pp.745-751. ⟨10.1109/TNANO.2017.2698205⟩
Article dans une revue hal-01701505v1

Effect of interface traps for ultra-thin high-k gate dielectric based MIS devices on the capacitance-voltage characteristics

S. Hlali , N. Hizem , Liviu Militaru , A. Kalboussi , Abdelkader Souifi
Microelectronics Reliability, 2017, 75, pp.154. ⟨10.1016/j.microrel.2017.06.056⟩
Article dans une revue hal-01701509v1

Effect of annealing time on the performance of tin oxide thin films ultraviolet photodetectors

D. Rechem , A. Khial , Abdelkader Souifi , F. Djeffal
Thin Solid Films, 2017, 623, pp.1
Article dans une revue hal-01701510v1

Indium-oxide nanoparticles for Ox-RRAM in CMOS back-end-off-line

Edgar Leon Perez , Pierre-Vincent Guenery , Oumaïma Abouzaid , Khaled Ayadi , Nicolas Baboux
EUROSOI-ULIS , 2017, pp.47-50
Article dans une revue hal-01991816v1

High sensitivity pH sensing on the BEOL of industrial FDSOI transistors

Lama Rahhal , Getenet Tesega Ayele , Stephane Monfray , Jean-Pierre Cloarec , Benjamin Fornacciari
Solid-State Electronics, 2017, 134, pp.22-29. ⟨10.1016/j.sse.2017.05.005⟩
Article dans une revue hal-01987313v1

Interface traps effect on the charge transport mechanisms in metal oxide semiconductor structures based on silicon nanocrystals

A. Kalboussi , Abdelkader Souifi
Microelectronics Reliability, 2017, 78, pp.227
Article dans une revue hal-01701534v1

A Fabrication Process for Emerging Nanoelectronic Devices Based on Oxide Tunnel Junctions

Dominique A Drouin , Gabriel Droulers , Marina Labalette , Bruno Lee Sang , Patrick Harvey-Collard
Journal of Nanomaterials, 2017, 2017, pp.1 - 8. ⟨10.1155/2017/8613571⟩
Article dans une revue hal-01921500v1

Selective dry etching of TiN nanostructures over SiO2 nanotrenches using a Cl2/Ar/N2 inductively coupled plasma

Bruno Lee Sang , Marie-Josée Gour , Maxime Darnon , Serge Ecoffey , Abdelatif Jaouad
Journal of Vacuum Science and Technology, 2016, 34 (2), ⟨10.1116/1.4936885⟩
Article dans une revue hal-01701405v1

Novel Concept of Gas Sensitivity Characterization of Materials Suited for Implementation in FET-Based Gas Sensors

Yosri Ayadi , Lama Rahhal , Bertrand Vilquin , Céline Chevalier , Fabian Ambriz Vargas
Nanoscale Research Letters, 2016, 11 (1), ⟨10.1186/s11671-016-1687-z⟩
Article dans une revue hal-01921508v1

Interface state density dependence on detection process in single electron photo-detector

Y. Bargaoui , M. Troudi , N. Sghaier , N. Yacoubi , Vincent Aimez
Microelectronic Engineering, 2016, 159, pp.151. ⟨10.1016/j.mee.2016.03.031⟩
Article dans une revue hal-01701397v1

The important contribution of photo-generated charges to the silicon nanocrystals photo-charging/discharging-response time at room temperature in MOS-photodetectors

S. Chattbouri , M. Troudi , A. Fargi , A. Kalboussi , Abdelkader Souifi
Superlattices and Microstructures, 2016, 94, pp.93
Article dans une revue hal-01701404v1

pH driven addressing of silicon nanowires onto Si 3 N 4 /SiO 2 micro-patterned surfaces

Jean-Pierre Cloarec , Céline Chevalier , Jonathan Genest , Jacques Beauvais , Hassan Chamas
Nanotechnology, 2016, 27 (29), ⟨10.1088/0957-4484/27/29/295602⟩
Article dans une revue hal-01895315v1

Direct exchange between silicon nanocrystals and tunnel oxide traps under illumination on single electron photodetector

S. Chattbouri , M. Troudi , N. Sghaier , A. Kalboussi , Vincent Aimez
Semiconductors, 2016, 50, pp.1163. ⟨10.1134/S1063782616090062⟩
Article dans une revue hal-01701401v1

Tunnel junction engineering for optimized Metallic Single Electron Transistor

K. El Hajjam , Mohamed-Amine Bounouar , Nicolas Baboux , S. Ecoffey , M. Guilmain
IEEE Transactions on Electron Devices, 2015, 62 (9), pp.2298-3003. ⟨10.1109/TED.2015.2452575⟩
Article dans une revue hal-01489315v1

Impact of defect on I(V) instabilities observed on Ti/4H-SiC high voltage Schottky diodes

N. Abdelwahed , M. Troudi , N. Sghaier , Abdelkader Souifi
Microelectronics Reliability, 2015, 55, Issue: 8, pp.1169-1173
Article dans une revue hal-01489432v1

Inductively coupled plasma etching of ultra-shallow Si3N4 nanostructures using SF6/C4F8 chemistry

Bruno Lee Sang , Marie-Josée Gour , Abdelatif Jaouad , Serge Ecoffey , Maxime Darnon
Microelectronic Engineering, 2015, 141, pp.68 - 71. ⟨10.1016/j.mee.2015.01.014⟩
Article dans une revue hal-01916788v1

Highly transparent low capacitance PEALD Al2O3-HfO2 tunnel junction engineering

K. El Hajjam , Nicolas Baboux , Francis Calmon , Abdelkader Souifi , O. Poncelet
Journal of Vacuum Science and Technology, 2014, 32, pp.01A132
Article dans une revue hal-01490344v1

Tuning the structural properties of InAs nanocrystals grown by molecular beam epitaxy on silicon dioxide

Moïra Hocevar , Gilles Patriarche , Abdelkader Souifi , Michel Gendry
Journal of Crystal Growth, 2011, 321 (1), pp.1-7. ⟨10.1016/j.jcrysgro.2011.01.067⟩
Article dans une revue hal-02070640v1

Large Improvement of Data Retention in Nanocrystal-Based Memories on Silicon Using InAs Quantum Dots Embedded in SiO2

Moïra Hocevar , Nicolas Baboux , Alain Poncet , Michel Gendry , Abdelkader Souifi
IEEE Transactions on Electron Devices, 2009, 56 (11), pp.2657-2663. ⟨10.1109/TED.2009.2030659⟩
Article dans une revue hal-02070630v1

InAs nanocrystals on SiO2∕Si by molecular beam epitaxy for memory applications

Moïra Hocevar , Philippe Regreny , Armel Descamps , David Albertini , Guillaume Saint-Girons
Applied Physics Letters, 2007, 91 (13), pp.133114
Article dans une revue hal-01939910v1

Démarrer une recherche participative en santé environnementale. Le cas du sud lyonnais

Gwenola Le Naour , Valentin Thomas , Emmanuel Martinais , Abdelkader Souifi
Santé environnement travail : des données à la preuve, CNRS, Sciences Po Lyon, Jun 2023, Lyon, France
Communication dans un congrès halshs-04130073v1

Développement d’un capteur environnemental ultra-basse consommation à base de SnO2 en technologie CMOS FDSOI

Antonio Assaf , João Resende , Levert Theo , Bernard Pelissier , Infante Ingrid C.
Journées de la matière condensée (JMC17), Société française de physique (SFP), Aug 2021, Rennes, France
Communication dans un congrès hal-03375553v1

Développement de capteurs environnementaux ultra-basse consommation à base de SnO2

Antonio Assaf , Bernard Pelissier , Theo Levert , Ingrid Cañero Infante , Bassem Salem
4th international workshop of IEEE Sensors, Nov 2021, Marseille, France
Communication dans un congrès hal-03503960v1

Experimental characterization of porosified silicon micropillars for wick performance enhancement

Q. Struss , P. Coudrain , J.-P. Colonna , Abdelkader Souifi , Christian Gontrand
14th European Adv. Tech. Workshop on Micropackaging and Thermal Manag., Feb 2019, La Rochelle, France
Communication dans un congrès hal-03364843v1

Optimization of resistive memories using In2O3 nanostructures integration with a CMOS Back-End-Off-Line process

Abdelkader Souifi , Pierre-Vincent Guenery , D. Deleruyelle , Liviu Militaru , J. Moeyaert
Annual Conference on Nanotechnology and Advanced Materials, Nov 2019, San Francisco, United States
Communication dans un congrès hal-03029510v1

Theoretical Study of Miniaturization of a Silicon Vapor Chamber for Compact Microelectronics

Quentin Struss , Perceval Coudrain , Jean-Philippe Colonna , Abdelkader Souifi , Christian Gontrand
THERMINIC 2018, Sep 2018, Stockholm, Sweden
Communication dans un congrès hal-02073165v1

Ultrahigh-Sensitive and CMOS Compatible ISFET Developed in BEOL of Industrial UTBB FDSOI

Getenet Tesega Ayele , Stephane Monfray , Serge Ecoffey , Frédéric Boeuf , Romain Bon
2018 IEEE Symposium on VLSI Technology, Jun 2018, Honolulu, United States. pp.97-98
Communication dans un congrès hal-02047342v1

Development of ultrasensitive extended-gate Ion-sensitive-field-effect-transistor based on industrial UTBB FDSOI transistor

Getenet Tesega Ayele , Stéphane Monfray , Frédéric Boeuf , Jean-Pierre Cloarec , Serge Ecoffey
ESSDERC 2017 - 47th IEEE European Solid-State Device Research Conference (ESSDERC), Sep 2017, Leuven, Belgium. ⟨10.1109/ESSDERC.2017.8066642⟩
Communication dans un congrès hal-01895310v1

Embedded Vapor Chamber in Microelectronics Devices

Quentin Struss , Perceval Coudrain , Jean-Philippe Colonna , Abdelkader Souifi , Christian Gontrand
JNRDM 2017, Nov 2017, Strasbourg, France
Communication dans un congrès hal-02097246v1

Indium-oxide nanoparticles for Ox-RRAM in CMOS back-end-off-line

Edgar Leon Perez , Pierre-Vincent Guenery , O. Abouzaid , Khaled Ayadi , Nicolas Baboux
EUROSOI-ULIS, 2017, Jan 2017, Athens, Greece
Communication dans un congrès hal-01701469v1

HfOx complementary resistive switches

Marina Labalette , S. Ecoffey , S. Jeannot , Abdelkader Souifi , D. Drouin
IEEE Nanotechnology Materials and Devices Conference (NMDC), 2016, Jan 2016, Toulouse, France
Communication dans un congrès hal-01701384v1

HfOx complementary resistive switches

Marina Labalette , Serge Ecoffey , Simon Jeannot , Abdelkader Souifi , Dominique A Drouin
2016 IEEE Nanotechnology Materials and Devices Conference (NMDC), Oct 2016, Toulouse, France. pp.1-2, ⟨10.1109/NMDC.2016.7777083⟩
Communication dans un congrès hal-02074283v1

3D microelectronic with BEOL compatible devices

D. Drouin , M. A-Bounouar , G. Droulers , Marina Labalette , M. Pioro-Ladriere
2015 IEEE 33rd VLSI TEST SYMPOSIUM (VTS),, 2015, Napa, CA,, United States
Communication dans un congrès hal-01489310v1

The nanodamascene process: a versatile fabrication technique for nanoelectronic applications

D. Drouin , G. Droulers , Marina Labalette , B. Sang , P. Harvey-Collard
IEEE NANO 2015 15th INTERNATIONAL CONFERENCE ON NANOTECHNOLOGY, 2015, ROME, Italy
Communication dans un congrès hal-01489607v1
Image document

Optical properties of self-organised SSMBE and GSMBE Ge nanostructures grown on SiGe template layer on Si (118)

Georges Bremond , Philippe Ferrandis , Abdelkader Souifi , Antoine Ronda , Isabelle Berbezier
MRS Fall Meeting, Symposium P, Nov 2000, Boston, United States
Communication dans un congrès hal-01616510v1

MOCVD grown InAs/GaAs quantum dots on GaAs and Ge/Si substrates

O. Abouzaid , Mickaël Martinet , Jérémy Moeyaert , Bassem Salem , Sylvain David
Journées Nationales sur les Technologies Emergentes, Nov 2019, Grenoble, France
Poster de conférence hal-03340000v1